Part Number Hot Search : 
50015 AS8223 IRG4PC LVC125A BH6584KV BQ20Z90 BP51L12 ZFVG07C2
Product Description
Full Text Search
 

To Download HD64F3048 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 Hitachi Single-Chip Microcomputer H8/3048 Series H8/3048 HD64F3048, HD6473048, HD6433048 H8/3047 HD6433047 H8/3045 HD6433045 H8/3044 HD6433044 Hardware Manual
ADE-602-073B
Preface
The H8/3048 Series is a series of high-performance microcontrollers that integrate system supporting functions together with an H8/300H CPU core. The H8/300H CPU has a 32-bit internal architecture with sixteen 16-bit general registers, and a concise, optimized instruction set designed for speed. It can address a 16-Mbyte linear address space. The on-chip supporting functions include ROM, RAM, a 16-bit integrated timer unit (ITU), a programmable timing pattern controller (TPC), a watchdog timer (WDT), a serial communication interface (SCI), an A/D converter, a D/A converter, I/O ports, a direct memory access controller (DMAC), a refresh controller, and other facilities. Of the two SCI channels, one has been expanded to support the ISO/IEC7816-3 smart card interface. Functions have also been added to reduce power consumption in battery-powered applications: individual modules can be placed in standby, and the frequency of the system clock supplied to the chip can be divided down under software control. The address space is divided into eight areas. The data bus width and access cycle length can be selected independently in each area, simplifying the connection of different types of memory. Seven operating modes (modes 1 to 7) are provided, offering a choice of data bus width and address space size. With these features, the H8/3048 Series can be used to implement compact, high-performance systems easily. In addition to its masked-ROM versions, the H8/3048 Series has a ZTATTM*1 version with userprogrammable on-chip PROM and an F-ZTATTM*2 version with on-chip flash memory that can be programmed on-board. These versions enable users to respond quickly and flexibly to changing application specifications. This manual describes the H8/3048 Series hardware. For details of the instruction set, refer to the H8/300H Series Programming Manual. Notes: 1. ZTATTM (Zero Turn-Around-time) is a trademark of Hitachi, Ltd. 2. F-ZTATTM (Flexible ZTAT) is a trademark of Hitachi, Ltd.
Contents
Section 1
1.1 1.2 1.3
Overview...................................................................................................... 1 Overview......................................................................................................................... 1 Block Diagram................................................................................................................ 5 Pin Description ............................................................................................................... 6 1.3.1 Pin Arrangement............................................................................................. 6 1.3.2 Pin Assignments in Each Mode...................................................................... 7 1.3.3 Pin Functions .................................................................................................. 10 CPU............................................................................................................... 15
15 15 16 17 18 19 19 20 21 22 23 23 25 26 26 27 28 38 39 39 39 42 46 46 47 47 49 50 50 50
Section 2
2.1
2.2 2.3 2.4
2.5
2.6
2.7
2.8
Overview......................................................................................................................... 2.1.1 Features........................................................................................................... 2.1.2 Differences from H8/300 CPU ....................................................................... CPU Operating Modes.................................................................................................... Address Space................................................................................................................. Register Configuration.................................................................................................... 2.4.1 Overview......................................................................................................... 2.4.2 General Registers............................................................................................ 2.4.3 Control Registers ............................................................................................ 2.4.4 Initial CPU Register Values ............................................................................ Data Formats................................................................................................................... 2.5.1 General Register Data Formats....................................................................... 2.5.2 Memory Data Formats .................................................................................... Instruction Set................................................................................................................. 2.6.1 Instruction Set Overview ................................................................................ 2.6.2 Instructions and Addressing Modes................................................................ 2.6.3 Tables of Instructions Classified by Function................................................. 2.6.4 Basic Instruction Formats ............................................................................... 2.6.5 Notes on Use of Bit Manipulation Instructions .............................................. Addressing Modes and Effective Address Calculation .................................................. 2.7.1 Addressing Modes .......................................................................................... 2.7.2 Effective Address Calculation ........................................................................ Processing States ............................................................................................................ 2.8.1 Overview......................................................................................................... 2.8.2 Program Execution State ................................................................................ 2.8.3 Exception-Handling State............................................................................... 2.8.4 Exception-Handling Sequences ...................................................................... 2.8.5 Bus-Released State ......................................................................................... 2.8.6 Reset State ...................................................................................................... 2.8.7 Power-Down State ..........................................................................................
2.9
Basic Operational Timing............................................................................................... 2.9.1 Overview......................................................................................................... 2.9.2 On-Chip Memory Access Timing................................................................... 2.9.3 On-Chip Supporting Module Access Timing ................................................. 2.9.4 Access to External Address Space..................................................................
51 51 51 53 54
Section 3
3.1
MCU Operating Modes........................................................................... 55
55 55 56 57 58 60 60 60 60 60 60 60 61 61 61
3.2 3.3 3.4
3.5 3.6
Overview......................................................................................................................... 3.1.1 Operating Mode Selection .............................................................................. 3.1.2 Register Configuration.................................................................................... Mode Control Register (MDCR) .................................................................................... System Control Register (SYSCR)................................................................................. Operating Mode Descriptions......................................................................................... 3.4.1 Mode 1 ............................................................................................................ 3.4.2 Mode 2 ............................................................................................................ 3.4.3 Mode 3 ............................................................................................................ 3.4.4 Mode 4 ............................................................................................................ 3.4.5 Mode 5 ............................................................................................................ 3.4.6 Mode 6 ........................................................................................................... 3.4.7 Mode 7 ........................................................................................................... Pin Functions in Each Operating Mode.......................................................................... Memory Map in Each Operating Mode..........................................................................
Section 4
4.1
Exception Handling.................................................................................. 71
71 71 71 72 73 73 73 76 77 78 79 80
4.2
4.3 4.4 4.5 4.6
Overview......................................................................................................................... 4.1.1 Exception Handling Types and Priority.......................................................... 4.1.2 Exception Handling Operation ....................................................................... 4.1.3 Exception Vector Table................................................................................... Reset ............................................................................................................................... 4.2.1 Overview......................................................................................................... 4.2.2 Reset Sequence ............................................................................................... 4.2.3 Interrupts after Reset....................................................................................... Interrupts......................................................................................................................... Trap Instruction............................................................................................................... Stack Status after Exception Handling ........................................................................... Notes on Stack Usage .....................................................................................................
Section 5
5.1
Interrupt Controller................................................................................... 81 Overview......................................................................................................................... 81 5.1.1 Features........................................................................................................... 81 5.1.2 Block Diagram................................................................................................ 82
5.2
5.3
5.4
5.5
5.1.3 Pin Configuration............................................................................................ 83 5.1.4 Register Configuration.................................................................................... 83 Register Descriptions...................................................................................................... 84 5.2.1 System Control Register (SYSCR)................................................................. 84 5.2.2 Interrupt Priority Registers A and B (IPRA, IPRB) ....................................... 85 5.2.3 IRQ Status Register (ISR) .............................................................................. 92 5.2.4 IRQ Enable Register (IER) ............................................................................. 93 5.2.5 IRQ Sense Control Register (ISCR) ............................................................... 94 Interrupt Sources............................................................................................................. 95 5.3.1 External Interrupts .......................................................................................... 95 5.3.2 Internal Interrupts ........................................................................................... 96 5.3.3 Interrupt Vector Table ..................................................................................... 96 Interrupt Operation ......................................................................................................... 100 5.4.1 Interrupt Handling Process ............................................................................. 100 5.4.2 Interrupt Sequence .......................................................................................... 105 5.4.3 Interrupt Response Time................................................................................. 106 Usage Notes .................................................................................................................... 107 5.5.1 Contention between Interrupt and Interrupt-Disabling Instruction ................ 107 5.5.2 Instructions that Inhibit Interrupts .................................................................. 108 5.5.3 Interrupts during EEPMOV Instruction Execution......................................... 108 5.5.4 Notes on External Interrup to during Use....................................................... 108
Section 6
6.1
6.2
6.3
Bus Controller............................................................................................ 111 Overview......................................................................................................................... 111 6.1.1 Features........................................................................................................... 111 6.1.2 Block Diagram................................................................................................ 112 6.1.3 Input/Output Pins............................................................................................ 113 6.1.4 Register Configuration.................................................................................... 113 Register Descriptions...................................................................................................... 114 6.2.1 Bus Width Control Register (ABWCR) ......................................................... 114 6.2.2 Access State Control Register (ASTCR) ........................................................ 115 6.2.3 Wait Control Register (WCR)......................................................................... 116 6.2.4 Wait State Controller Enable Register (WCER)............................................. 117 6.2.5 Bus Release Control Register (BRCR)........................................................... 118 6.2.6 Chip Select Control Register (CSCR) ............................................................ 119 Operation ........................................................................................................................ 121 6.3.1 Area Division.................................................................................................. 121 6.3.2 Chip Select Signals ......................................................................................... 123 6.3.3 Data Bus.......................................................................................................... 124 6.3.4 Bus Control Signal Timing ............................................................................. 125 6.3.5 Wait Modes ..................................................................................................... 133
6.4
6.3.6 Interconnections with Memory (Example)..................................................... 139 6.3.7 Bus Arbiter Operation..................................................................................... 141 Usage Notes .................................................................................................................... 144 6.4.1 Connection to Dynamic RAM and Pseudo-Static RAM ................................ 144 6.4.2 Register Write Timing .................................................................................... 144 6.4.3 BREQ Input Timing........................................................................................ 144 6.4.4 Transition to Software Standby Mode ............................................................ 146
Section 7
7.1
Refresh Controller .................................................................................... 147
7.2
7.3
7.4 7.5
Overview......................................................................................................................... 147 7.1.1 Features........................................................................................................... 147 7.1.2 Block Diagram................................................................................................ 148 7.1.3 Input/Output Pins............................................................................................ 149 7.1.4 Register Configuration.................................................................................... 149 Register Descriptions...................................................................................................... 150 7.2.1 Refresh Control Register (RFSHCR) ............................................................. 150 7.2.2 Refresh Timer Control/Status Register (RTMCSR) ....................................... 153 7.2.3 Refresh Timer Counter (RTCNT)................................................................... 155 7.2.4 Refresh Time Constant Register (RTCOR) .................................................... 155 Operation ........................................................................................................................ 156 7.3.1 Overview......................................................................................................... 156 7.3.2 DRAM Refresh Control.................................................................................. 157 7.3.3 Pseudo-Static RAM Refresh Control.............................................................. 172 7.3.4 Interval Timing ............................................................................................... 177 Interrupt Source .............................................................................................................. 183 Usage Notes .................................................................................................................... 183
Section 8
8.1
8.2
8.3
DMA Controller ........................................................................................ 185 Overview......................................................................................................................... 185 8.1.1 Features........................................................................................................... 185 8.1.2 Block Diagram................................................................................................ 186 8.1.3 Functional Overview....................................................................................... 187 8.1.4 Input/Output Pins............................................................................................ 188 8.1.5 Register Configuration.................................................................................... 188 Register Descriptions (Short Address Mode) ................................................................. 190 8.2.1 Memory Address Registers (MAR)................................................................ 190 8.2.2 I/O Address Registers (IOAR)........................................................................ 191 8.2.3 Execute Transfer Count Registers (ETCR)..................................................... 191 8.2.4 Data Transfer Control Registers (DTCR) ....................................................... 193 Register Descriptions (Full Address Mode) ................................................................... 196 8.3.1 Memory Address Registers (MAR)................................................................ 196
8.4
8.5 8.6
8.3.2 I/O Address Registers (IOAR)........................................................................ 196 8.3.3 Execute Transfer Count Registers (ETCR)..................................................... 197 8.3.4 Data Transfer Control Registers (DTCR) ....................................................... 199 Operation ........................................................................................................................ 205 8.4.1 Overview......................................................................................................... 205 8.4.2 I/O Mode......................................................................................................... 207 8.4.3 Idle Mode........................................................................................................ 209 8.4.4 Repeat Mode................................................................................................... 212 8.4.5 Normal Mode.................................................................................................. 215 8.4.6 Block Transfer Mode ...................................................................................... 218 8.4.7 DMAC Activation........................................................................................... 223 8.4.8 DMAC Bus Cycle ........................................................................................... 225 8.4.9 Multiple-Channel Operation........................................................................... 231 8.4.10 External Bus Requests, Refresh Controller, and DMAC................................ 232 8.4.11 NMI Interrupts and DMAC ............................................................................ 233 8.4.12 Aborting a DMA Transfer .............................................................................. 234 8.4.13 Exiting Full Address Mode............................................................................. 235 8.4.14 DMAC States in Reset State, Standby Modes, and Sleep Mode .................... 236 Interrupts......................................................................................................................... 237 Usage Notes .................................................................................................................... 238 8.6.1 Note on Word Data Transfer........................................................................... 238 8.6.2 DMAC Self-Access ........................................................................................ 238 8.6.3 Longword Access to Memory Address Registers........................................... 238 8.6.4 Note on Full Address Mode Setup.................................................................. 238 8.6.5 Note on Activating DMAC by Internal Interrupts .......................................... 239 8.6.6 NMI Interrupts and Block Transfer Mode ...................................................... 240 8.6.7 Memory and I/O Address Register Values ..................................................... 240 8.6.8 Bus Cycle when Transfer is Aborted .............................................................. 241
Section 9
9.1 9.2
9.3
9.4
9.5
I/O Ports....................................................................................................... 243 Overview......................................................................................................................... 243 Port 1............................................................................................................................... 246 9.2.1 Overview......................................................................................................... 246 9.2.2 Register Descriptions...................................................................................... 247 Port 2............................................................................................................................... 249 9.3.1 Overview......................................................................................................... 249 9.3.2 Register Descriptions...................................................................................... 250 Port 3............................................................................................................................... 253 9.4.1 Overview......................................................................................................... 253 9.4.2 Register Descriptions...................................................................................... 253 Port 4............................................................................................................................... 255
9.6
9.7
9.8
9.9
9.10
9.11
9.12
9.5.1 Overview......................................................................................................... 255 9.5.2 Register Descriptions...................................................................................... 256 Port 5............................................................................................................................... 259 9.6.1 Overview......................................................................................................... 259 9.6.2 Register Descriptions...................................................................................... 259 Port 6............................................................................................................................... 262 9.7.1 Overview......................................................................................................... 262 9.7.2 Register Descriptions...................................................................................... 262 Port 7............................................................................................................................... 265 9.8.1 Overview......................................................................................................... 265 9.8.2 Register Description ....................................................................................... 266 Port 8............................................................................................................................... 267 9.9.1 Overview......................................................................................................... 267 9.9.2 Register Descriptions...................................................................................... 268 Port 9............................................................................................................................... 272 9.10.1 Overview......................................................................................................... 272 9.10.2 Register Descriptions...................................................................................... 272 Port A.............................................................................................................................. 276 9.11.1 Overview......................................................................................................... 276 9.11.2 Register Descriptions...................................................................................... 278 9.11.3 Pin Functions .................................................................................................. 279 Port B .............................................................................................................................. 284 9.12.1 Overview......................................................................................................... 284 9.12.2 Register Descriptions...................................................................................... 286 9.12.3 Pin Functions .................................................................................................. 288
Section 10
10.1
10.2
16-Bit Integrated Timer Unit (ITU)..................................................... 295 Overview......................................................................................................................... 295 10.1.1 Features........................................................................................................... 295 10.1.2 Block Diagrams .............................................................................................. 298 10.1.3 Input/Output Pins............................................................................................ 303 10.1.4 Register Configuration.................................................................................... 304 Register Descriptions...................................................................................................... 307 10.2.1 Timer Start Register (TSTR) .......................................................................... 307 10.2.2 Timer Synchro Register (TSNC) .................................................................... 308 10.2.3 Timer Mode Register (TMDR)....................................................................... 310 10.2.4 Timer Function Control Register (TFCR) ...................................................... 313 10.2.5 Timer Output Master Enable Register (TOER) .............................................. 315 10.2.6 Timer Output Control Register (TOCR)......................................................... 318 10.2.7 Timer Counters (TCNT) ................................................................................. 319 10.2.8 General Registers (GRA, GRB) ..................................................................... 320
10.3
10.4
10.5
10.6
10.2.9 Buffer Registers (BRA, BRB) ........................................................................ 321 10.2.10 Timer Control Registers (TCR) ...................................................................... 322 10.2.11 Timer I/O Control Register (TIOR)................................................................ 324 10.2.12 Timer Status Register (TSR)........................................................................... 326 10.2.13 Timer Interrupt Enable Register (TIER)......................................................... 329 CPU Interface ................................................................................................................. 331 10.3.1 16-Bit Accessible Registers ............................................................................ 331 10.3.2 8-Bit Accessible Registers .............................................................................. 333 Operation ........................................................................................................................ 335 10.4.1 Overview......................................................................................................... 335 10.4.2 Basic Functions............................................................................................... 336 10.4.3 Synchronization .............................................................................................. 346 10.4.4 PWM Mode .................................................................................................... 348 10.4.5 Reset-Synchronized PWM Mode ................................................................... 352 10.4.6 Complementary PWM Mode.......................................................................... 355 10.4.7 Phase Counting Mode..................................................................................... 365 10.4.8 Buffering......................................................................................................... 367 10.4.9 ITU Output Timing......................................................................................... 374 Interrupts......................................................................................................................... 376 10.5.1 Setting of Status Flags .................................................................................... 376 10.5.2 Clearing of Status Flags.................................................................................. 378 10.5.3 Interrupt Sources and DMA Controller Activation ........................................ 379 Usage Notes .................................................................................................................... 380
Section 11
11.1
Programmable Timing Pattern Controller ......................................... 395
11.2
Overview......................................................................................................................... 395 11.1.1 Features........................................................................................................... 395 11.1.2 Block Diagram................................................................................................ 396 11.1.3 TPC Pins ......................................................................................................... 397 11.1.4 Registers ......................................................................................................... 398 Register Descriptions...................................................................................................... 399 11.2.1 Port A Data Direction Register (PADDR) ...................................................... 399 11.2.2 Port A Data Register (PADR) ......................................................................... 399 11.2.3 Port B Data Direction Register (PBDDR) ...................................................... 400 11.2.4 Port B Data Register (PBDR) ......................................................................... 400 11.2.5 Next Data Register A (NDRA)....................................................................... 401 11.2.6 Next Data Register B (NDRB) ....................................................................... 403 11.2.7 Next Data Enable Register A (NDERA) ........................................................ 405 11.2.8 Next Data Enable Register B (NDERB)......................................................... 406 11.2.9 TPC Output Control Register (TPCR)............................................................ 407 11.2.10 TPC Output Mode Register (TPMR).............................................................. 410
11.3 Operation ........................................................................................................................... 412 11.3.1 Overview......................................................................................................... 412 11.3.2 Output Timing................................................................................................. 413 11.3.3 Normal TPC Output........................................................................................ 414 11.3.4 Non-Overlapping TPC Output........................................................................ 416 11.3.5 TPC Output Triggering by Input Capture....................................................... 418 11.4 Usage Notes .................................................................................................................... 419 11.4.1 Operation of TPC Output Pins........................................................................ 419 11.4.2 Note on Non-Overlapping Output .................................................................. 419
Section 12
12.1
12.2
12.3
12.4 12.5
Watchdog Timer ........................................................................................ 421 Overview......................................................................................................................... 421 12.1.1 Features........................................................................................................... 421 12.1.2 Block Diagram................................................................................................ 422 12.1.3 Pin Configuration............................................................................................ 422 12.1.4 Register Configuration.................................................................................... 423 Register Descriptions...................................................................................................... 424 12.2.1 Timer Counter (TCNT)................................................................................... 424 12.2.2 Timer Control/Status Register (TCSR)........................................................... 425 12.2.3 Reset Control/Status Register (RSTCSR) ...................................................... 427 12.2.4 Notes on Register Access ............................................................................... 429 Operation ........................................................................................................................ 431 12.3.1 Watchdog Timer Operation............................................................................. 431 12.3.2 Interval Timer Operation ................................................................................ 432 12.3.3 Timing of Setting of Overflow Flag (OVF) .................................................... 433 12.3.4 Timing of Setting of Watchdog Timer Reset Bit (WRST) ............................. 434 Interrupts......................................................................................................................... 435 Usage Notes .................................................................................................................... 435 Serial Communication Interface........................................................... 437 Overview......................................................................................................................... 437 13.1.1 Features........................................................................................................... 437 13.1.2 Block Diagram................................................................................................ 439 13.1.3 Input/Output Pins............................................................................................ 440 13.1.4 Register Configuration.................................................................................... 440 Register Descriptions...................................................................................................... 441 13.2.1 Receive Shift Register (RSR) ......................................................................... 441 13.2.2 Receive Data Register (RDR)......................................................................... 441 13.2.3 Transmit Shift Register (TSR) ........................................................................ 442 13.2.4 Transmit Data Register (TDR)........................................................................ 442 13.2.5 Serial Mode Register (SMR) .......................................................................... 443
Section 13
13.1
13.2
13.3
13.4 13.5
13.2.6 Serial Control Register (SCR) ........................................................................ 447 13.2.7 Serial Status Register (SSR) ........................................................................... 451 13.2.8 Bit Rate Register (BRR) ................................................................................. 455 Operation ........................................................................................................................ 464 13.3.1 Overview......................................................................................................... 464 13.3.2 Operation in Asynchronous Mode.................................................................. 466 13.3.3 Multiprocessor Communication ..................................................................... 475 13.3.4 Synchronous Operation .................................................................................. 482 SCI Interrupts.................................................................................................................. 491 Usage Notes .................................................................................................................... 492
Section 14
14.1
14.2
14.3
14.4
Smart Card Interface ................................................................................ 497 Overview......................................................................................................................... 497 14.1.1 Features........................................................................................................... 497 14.1.2 Block Diagram................................................................................................ 498 14.1.3 Input/Output Pins............................................................................................ 499 14.1.4 Register Configuration.................................................................................... 499 Register Descriptions...................................................................................................... 500 14.2.1 Smart Card Mode Register (SCMR)............................................................... 500 14.2.2 Serial Status Register (SSR) ........................................................................... 501 14.2.3 Serial Mode Register (SMR) .......................................................................... 503 14.2.4 Serial Control Register (SCR) ........................................................................ 504 Operation ........................................................................................................................ 505 14.3.1 Overview......................................................................................................... 505 14.3.2 Pin Connections .............................................................................................. 505 14.3.3 Data Format .................................................................................................... 506 14.3.4 Register Settings ............................................................................................. 508 14.3.5 Clock............................................................................................................... 510 14.3.6 Transmitting and Receiving Data ................................................................... 512 Usage Notes .................................................................................................................... 519 A/D Converter............................................................................................ 523 Overview......................................................................................................................... 523 15.1.1 Features........................................................................................................... 523 15.1.2 Block Diagram................................................................................................ 524 15.1.3 Input Pins ........................................................................................................ 525 15.1.4 Register Configuration.................................................................................... 526 Register Descriptions...................................................................................................... 527 15.2.1 A/D Data Registers A to D (ADDRA to ADDRD) ........................................ 527 15.2.2 A/D Control/Status Register (ADCSR) .......................................................... 528 15.2.3 A/D Control Register (ADCR) ....................................................................... 531
Section 15
15.1
15.2
15.3 15.4
15.5 15.6
CPU Interface ................................................................................................................. 532 Operation ........................................................................................................................ 533 15.4.1 Single Mode (SCAN = 0) ............................................................................... 533 15.4.2 Scan Mode (SCAN = 1).................................................................................. 535 15.4.3 Input Sampling and A/D Conversion Time .................................................... 537 15.4.4 External Trigger Input Timing........................................................................ 538 Interrupts......................................................................................................................... 539 Usage Notes .................................................................................................................... 539
Section 16
16.1
16.2
16.3 16.4 16.5
D/A Converter............................................................................................ 545 Overview......................................................................................................................... 545 16.1.1 Features........................................................................................................... 545 16.1.2 Block Diagram................................................................................................ 545 16.1.3 Input/Output Pins............................................................................................ 546 16.1.4 Register Configuration.................................................................................... 546 Register Descriptions...................................................................................................... 547 16.2.1 D/A Data Registers 0 and 1 (DADR0/1) ........................................................ 547 16.2.2 D/A Control Register (DACR) ....................................................................... 547 16.2.3 D/A Standby Control Register (DASTCR)..................................................... 549 Operation ........................................................................................................................ 550 D/A Output Control ........................................................................................................ 551 Usage Notes .................................................................................................................... 551
Section 17
17.1
17.2 17.3
RAM ............................................................................................................. 553 Overview......................................................................................................................... 553 17.1.1 Block Diagram................................................................................................ 553 17.1.2 Register Configuration.................................................................................... 554 System Control Register (SYSCR)................................................................................. 555 Operation ........................................................................................................................ 556 ROM.............................................................................................................. 557 Overview......................................................................................................................... 557 18.1.1 Block Diagram................................................................................................ 558 PROM Mode................................................................................................................... 559 18.2.1 PROM Mode Setting ...................................................................................... 559 18.2.2 Socket Adapter and Memory Map.................................................................. 559 PROM Programming ...................................................................................................... 562 18.3.1 Programming and Verification........................................................................ 562 18.3.2 Programming Precautions............................................................................... 567 18.3.3 Reliability of Programmed Data..................................................................... 568 Flash Memory Overview ................................................................................................ 569
Section 18
18.1 18.2
18.3
18.4
18.4.1 Flash Memory Operation................................................................................ 569 18.4.2 Mode Programming and Flash Memory Address Space ................................ 570 18.4.3 Features........................................................................................................... 570 18.4.4 Block Diagram................................................................................................ 572 18.4.5 Input/Output Pins............................................................................................ 573 18.4.6 Register Configuration.................................................................................... 573 18.5 Flash Memory Register Descriptions ............................................................................. 574 18.5.1 Flash Memory Control Register ..................................................................... 574 18.5.2 Erase Block Register 1.................................................................................... 577 18.5.3 Erase Block Register 2.................................................................................... 578 18.5.4 RAM Control Register (RAMCR).................................................................. 580 18.6 On-Board Programming Modes ..................................................................................... 582 18.6.1 Boot Mode ...................................................................................................... 582 18.6.2 User Program Mode........................................................................................ 587 18.7 Programming and Erasing Flash Memory...................................................................... 589 18.7.1 Program Mode ................................................................................................ 590 18.7.2 Program-Verify Mode..................................................................................... 590 18.7.3 Programming Flowchart and Sample Program............................................... 591 18.7.4 Erase Mode ..................................................................................................... 593 18.7.5 Erase-Verify Mode.......................................................................................... 594 18.7.6 Erasing Flowchart and Sample Program ........................................................ 595 18.7.7 Prewrite-Verify Mode ..................................................................................... 607 18.7.8 Protect Modes ................................................................................................. 607 18.7.9 NMI Input Masking ........................................................................................ 610 18.8 Flash Memory Emulation by RAM ................................................................................ 611 18.9 PROM Mode................................................................................................................... 613 18.9.1 PROM Mode Setting ...................................................................................... 613 18.9.2 Socket Adapter and Memory Map.................................................................. 614 18.9.3 Operation in PROM Mode.............................................................................. 616 18.10 Flash Memory Programming and Erasing Precautions .................................................. 624
Section 19
19.1 19.2
19.3 19.4 19.5
Clock Pulse Generator............................................................................. 633 Overview......................................................................................................................... 633 19.1.1 Block Diagram................................................................................................ 633 Oscillator Circuit ............................................................................................................ 634 19.2.1 Connecting a Crystal Resonator ..................................................................... 634 19.2.2 External Clock Input....................................................................................... 636 Duty Adjustment Circuit................................................................................................. 639 Prescalers ........................................................................................................................ 639 Frequency Divider .......................................................................................................... 639 19.5.1 Register Configuration.................................................................................... 639
19.5.2 19.5.3
Division Control Register (DIVCR) ............................................................... 639 Usage Notes .................................................................................................... 640
Section 20
20.1 20.2
20.3
20.4
20.5
20.6
20.7
Power-Down State .................................................................................... 641 Overview......................................................................................................................... 641 Register Configuration.................................................................................................... 643 20.2.1 System Control Register (SYSCR)................................................................. 643 20.2.2 Module Standby Control Register (MSTCR) ................................................. 645 Sleep Mode ..................................................................................................................... 647 20.3.1 Transition to Sleep Mode................................................................................ 647 20.3.2 Exit from Sleep Mode..................................................................................... 647 Software Standby Mode ................................................................................................. 648 20.4.1 Transition to Software Standby Mode ............................................................ 648 20.4.2 Exit from Software Standby Mode ................................................................. 648 20.4.3 Selection of Waiting Time for Exit from Software Standby Mode ................ 649 20.4.4 Sample Application of Software Standby Mode ............................................ 650 20.4.5 Note................................................................................................................. 650 Hardware Standby Mode ................................................................................................ 651 20.5.1 Transition to Hardware Standby Mode........................................................... 651 20.5.2 Exit from Hardware Standby Mode................................................................ 651 20.5.3 Timing for Hardware Standby Mode.............................................................. 651 Module Standby Function............................................................................................... 652 20.6.1 Module Standby Timing ................................................................................. 652 20.6.2 Read/Write in Module Standby ...................................................................... 652 20.6.3 Usage Notes .................................................................................................... 652 System Clock Output Disabling Function ...................................................................... 653 Electrical Characteristics ........................................................................ 649 Absolute Maximum Ratings ........................................................................................... 649 Electrical Characteristics of Masked ROM and PROM Versions................................... 650 21.2.1 DC Characteristics .......................................................................................... 650 21.2.2 AC Characteristics .......................................................................................... 658 21.2.3 A/D Conversion Characteristics ..................................................................... 666 21.2.4 D/A Conversion Characteristics ..................................................................... 667 Electrical Characteristics of Flash Memory Version ...................................................... 668 21.3.1 DC Characteristics .......................................................................................... 668 21.3.2 AC Characteristics .......................................................................................... 677 21.3.3 A/D Conversion Characteristics ..................................................................... 683 21.3.4 D/A Conversion Characteristics ..................................................................... 684 21.3.5 Flash Memory Characteristics ........................................................................ 685 Operational Timing......................................................................................................... 686
14
Section 21
21.1 21.2
21.3
21.4
21.4.1 21.4.2 21.4.3 21.4.4 21.4.5 21.4.6 21.4.7 21.4.8
Bus Timing ..................................................................................................... 686 Refresh Controller Bus Timing....................................................................... 690 Control Signal Timing .................................................................................... 695 Clock Timing .................................................................................................. 697 TPC and I/O Port Timing................................................................................ 697 ITU Timing ..................................................................................................... 698 SCI Input/Output Timing................................................................................ 699 DMAC Timing................................................................................................ 700
Appendix A Instruction Set ............................................................................................ 703
A.1 A.2 A.3 Instruction List................................................................................................................ 703 Operation Code Map....................................................................................................... 718 Number of States Required for Execution...................................................................... 721
Appendix B Internal I/O Register................................................................................. 730
B.1 B.2 Addresses........................................................................................................................ 730 Function .......................................................................................................................... 738
Appendix C I/O Port Block Diagrams ........................................................................ 818
C.1 C.2 C.3 C.4 C.5 C.6 C.7 C.8 C.9 C.10 C.11 Port 1 Block Diagram ..................................................................................................... 818 Port 2 Block Diagram ..................................................................................................... 819 Port 3 Block Diagram ..................................................................................................... 820 Port 4 Block Diagram ..................................................................................................... 821 Port 5 Block Diagram ..................................................................................................... 822 Port 6 Block Diagrams.................................................................................................... 823 Port 7 Block Diagrams.................................................................................................... 827 Port 8 Block Diagrams.................................................................................................... 828 Port 9 Block Diagrams.................................................................................................... 831 Port A Block Diagrams................................................................................................... 835 Port B Block Diagrams................................................................................................... 839
Appendix D Pin States ..................................................................................................... 843
D.1 D.2 Port States in Each Mode................................................................................................ 843 Pin States at Reset........................................................................................................... 846
Appendix E Appendix F
Timing of Transition to and Recovery from Hardware Standby Mode .... 849 Product Code Lineup ............................................................................... 850
Appendix G Package Dimensions ................................................................................ 852
Section 1 Overview
1.1 Overview
The H8/3048 Series is a series of microcontrollers (MCUs) that integrate system supporting functions together with an H8/300H CPU core having an original Hitachi architecture. The H8/300H CPU has a 32-bit internal architecture with sixteen 16-bit general registers, and a concise, optimized instruction set designed for speed. It can address a 16-Mbyte linear address space. Its instruction set is upward-compatible at the object-code level with the H8/300 CPU, enabling easy porting of software from the H8/300 Series. The on-chip system supporting functions include ROM, RAM, a 16-bit integrated timer unit (ITU), a programmable timing pattern controller (TPC), a watchdog timer (WDT), a serial communication interface (SCI), an A/D converter, a D/A converter, I/O ports, a direct memory access controller (DMAC), a refresh controller, and other facilities. The four members of the H8/3048 Series are the H8/3048, the H8/3047, H8/3045, and the H8/3044. The H8/3048 has 128 kbytes of ROM and 4 kbytes of RAM. The H8/3047 has 96 kbytes of ROM and 4 kbytes of RAM. The H8/3045 has 64 kbytes of ROM and 2 kbytes of RAM. The H8/3044 has 32 kbytes of ROM and 2 kbytes of RAM. Seven MCU operating modes offer a choice of data bus width and address space size. The modes (modes 1 to 7) include one single-chip mode and six expanded modes. In addition to the masked-ROM versions of the H8/3048 Series, the H8/3048 has a ZTATTM*1 version with user-programmable on-chip PROM and an F-ZTATTM*2 version with on-chip flash memory that can be programmed on-board. These versions enable users to respond quickly and flexibly to changing application specifications, growing production volumes, and other conditions. Table 1-1 summarizes the features of the H8/3048 Series. Notes: 1. ZTAT (Zero Turn-Around Time) is a trademark of Hitachi, Ltd. 2. F-ZTAT (Flexible ZTAT) is a trademark of Hitachi, Ltd.
1
Table 1-1 Features
Feature CPU Description Upward-compatible with the H8/300 CPU at the object-code level General-register machine * Sixteen 16-bit general registers (also usable as + eight 16-bit registers or eight 32-bit registers) High-speed operation (flash memory version) * Maximum clock rate: 16 MHz * Add/subtract: 125 ns * Multiply/divide: 875 ns High-speed operation (masked ROM and PROM versions) * Maximum clock rate: 18 MHz * Add/subtract: 111 ns * Multiply/divide: 778 ns 16-Mbyte address space Instruction features * 8/16/32-bit data transfer, arithmetic, and logic instructions * Signed and unsigned multiply instructions (8 bits x 8 bits, 16 bits x 16 bits) * Signed and unsigned divide instructions (16 bits / 8 bits, 32 bits / 16 bits) * Bit accumulator function * Bit manipulation instructions with register-indirect specification of bit positions Memory H8/3048 * ROM: 128 kbytes * RAM: 4 kbytes H8/3047 * ROM: 96 kbytes * RAM: 4 kbytes H8/3045 * ROM: 64 kbytes * RAM: 2 kbytes H8/3044 * ROM: 32 kbytes * RAM: 2 kbytes Interrupt controller Bus controller * Seven external interrupt pins: NMI, IRQ0 to IRQ5 * 30 internal interrupts * Three selectable interrupt priority levels * Address space can be partitioned into eight areas, with independent bus specifications in each area * Chip select output available for areas 0 to 7 * 8-bit access or 16-bit access selectable for each area * Two-state or three-state access selectable for each area * Selection of four wait modes * Bus arbitration function
2
Table 1-1 Features (cont)
Feature Refresh controller Description DRAM refresh * Directly connectable to 16-bit-wide DRAM * CAS-before-RAS refresh * Self-refresh mode selectable Pseudo-static RAM refresh * Self-refresh mode selectable Usable as an interval timer DMA controller (DMAC) Short address mode * Maximum four channels available * Selection of I/O mode, idle mode, or repeat mode * Can be activated by compare match/input capture A interrupts from ITU channels 0 to 3, transmit-data-empty and receive-data-full interrupts from SCI channel 0, or external requests Full address mode * Maximum two channels available * Selection of normal mode or block transfer mode * Can be activated by compare match/input capture A interrupts from ITU channels 0 to 3, external requests, or auto-request 16-bit integrated timer unit (ITU) * Five 16-bit timer channels, capable of processing up to 12 pulse outputs or 10 pulse inputs * 16-bit timer counter (channels 0 to 4) * Two multiplexed output compare/input capture pins (channels 0 to 4) * Operation can be synchronized (channels 0 to 4) * PWM mode available (channels 0 to 4) * Phase counting mode available (channel 2) * Buffering available (channels 3 and 4) * Reset-synchronized PWM mode available (channels 3 and 4) * Complementary PWM mode available (channels 3 and 4) * DMAC can be activated by compare match/input capture A interrupts (channels 0 to 3) * * * * Maximum 16-bit pulse output, using ITU as time base Up to four 4-bit pulse output groups (or one 16-bit group, or two 8-bit groups) Non-overlap mode available Output data can be transferred by DMAC
Programmable timing pattern controller (TPC) Watchdog timer (WDT), 1 channel Serial communication interface (SCI), 2 channels
* Reset signal can be generated by overflow * Reset signal can be output externally * Usable as an interval timer * * * * Selection of asynchronous or synchronous mode Full duplex: can transmit and receive simultaneously On-chip baud-rate generator Smart card interface functions added (SCI0 only)
3
Table 1-1 Features (cont)
Feature A/D converter Description * * * * * Resolution: 10 bits Eight channels, with selection of single or scan mode Variable analog conversion voltage range Sample-and-hold function A/D conversion can be externally triggered
D/A converter
* Resolution: 8 bits * Two channels * D/A outputs can be sustained in software standby mode * 70 input/output pins * 8 input-only pins
I/O ports
Operating modes Seven MCU operating modes
Mode Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Mode 7 Address Space 1 Mbyte 1 Mbyte 16 Mbytes 16 Mbytes 1 Mbyte 16 Mbytes 1 Mbyte Address Pins A19 to A0 A19 to A0 A23 to A0 A23 to A0 A19 to A0 A23 to A0 -- Initial Bus Width 8 bits 16 bits 8 bits 16 bits 8 bits 8 bits -- Max. Bus Width 16 bits 16 bits 16 bits 16 bits 16 bits 16 bits --
* On-chip ROM is disabled in modes 1 to 4 Power-down state * * * * * Sleep mode Software standby mode Hardware standby mode Module standby function Programmable system clock frequency division
Other features Product lineup
* On-chip clock pulse generator
Model (5-V) HD64F3048TF HD64F3048F HD6473048TF HD6473048F HD6433048TF HD6433048F HD6433047TF HD6433047F HD6433045TF HD6433045F HD6433044TF HD6433044F Model (3-V) HD64F3048VTF HD64F3048VF HD6473048VTF HD6473048VF HD6433048VTF HD6433048VF HD6433047VTF HD6433047VF HD6433045VTF HD6433045VF HD6433044VTF HD6433044VF Package 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) ROM Flash memory PROM Masked ROM Masked ROM Masked ROM Masked ROM
4
1.2 Block Diagram
Figure 1-1 shows an internal block diagram.
P37 /D15 P36 /D14 P35 /D13 P34 /D12 P33 /D11 P32 /D10 P47 /D7 P46 /D6 P45 /D5 P44 /D4 P43 /D3 P42 /D2 P41 /D1 P40 /D0 P31 /D9 P30 /D8
VCC
VCC
VCC
VSS
VSS
VSS
VSS
VSS
VSS
Port 3 Address bus
Port 4 P53 /A 19 Port 5 Port 2 Bus controller Port 1 Port 9 Port 7 P77 /AN7 /DA 1 P76 /AN6 /DA 0 P75 /AN 5 P74 /AN 4 P73 /AN 3 P72 /AN 2 P71 /AN 1 P70 /AN 0 AVSS P52 /A 18 P51 /A 17 P50 /A 16
MD 2 MD 1 MD 0 EXTAL XTAL o STBY RES VPP */RESO NMI P66 /LWR P65 /HWR Port 6 P64 /RD P63 /AS P6 2 /BACK P6 1 /BREQ P6 0 /WAIT RAM P84 /CS 0 P82 /CS2 /IRQ 2 P81 /CS3 /IRQ 1 P8 0 /RFSH/IRQ 0 Port 8 P83 /CS1 /IRQ 3 ROM (masked ROM, PROM, or flash memory) Interrupt controller Clock pulse generator
Data bus (upper) Data bus (lower)
P27 /A 15 H8/300H CPU P26 /A 14 P25 /A 13 P24 /A 12 P23 /A 11 P22 /A 10 P21 /A 9 P20 /A 8 P17 /A 7 P16 /A 6 P15 /A 5 Refresh controller P14 /A 4 P13 /A 3 P12 /A 2 P11 /A 1 Watchdog timer (WDT) P10 /A 0
DMA controller (DMAC)
16-bit integrated timer unit (ITU)
Serial communication interface (SCI) x 2 channels
P95 /SCK 1 /IRQ 5 P94 /SCK 0 /IRQ 4 P93 /RxD1 P92 /RxD0 P91 /TxD 1 P90 /TxD 0
Programmable timing pattern controller (TPC)
A/D converter D/A converter
Port B PB 7 /TP15/DREQ 1/ADTRG PB6/TP14/DREQ0/CS7 PB5 /TP13 /TOCXB 4 PB4 /TP12 /TOCXA 4 PB 3 /TP11 /TIOCB 4 PB 2 /TP10 /TIOCA 4 PB 1 /TP9 /TIOCB 3 PB 0 /TP8 /TIOCA 3 PA6/TP6/TIOCA2/A21/CS4 PA5/TP5/TIOCB1/A22/CS5 PA7/TP7/TIOCB2/A20
Port A PA 3 /TP 3 /TIOCB0 /TCLKD PA 2 /TP 2 /TIOCA0 /TCLKC PA 1/TP1 /TEND 1 /TCLKB PA4/TP4/TIOCA1/A23/CS6 PA 0/TP0 /TEND 0 /TCLKA VREF AVCC
Note: * VPP function is provided only for the flash memory version.
Figure 1-1 Block Diagram
5
1.3 Pin Description
1.3.1 Pin Arrangement Figure 1-2 shows the pin arrangement of the H8/3048 Series.
PA 6 /TP6 /TIOCA 2 /A 21/CS4 PA 5 /TP5 /TIOCB 1 /A 22/CS5 PA 4 /TP4 /TIOCA 1 /A 23/CS6
PA 3 /TP3 /TIOCB 0 /TCLKD
PA 2 /TP2 /TIOCA 0 /TCLKC
PA 1 /TP1 /TEND 1 /TCLKB
PA 0 /TP0 /TEND 0 /TCLKA
PA 7 /TP7 /TIOCB 2 /A 20
P80 /RFSH/IRQ 0
P83 /CS1 /IRQ 3
P82 /CS2 /IRQ 2
P81 /CS3 /IRQ 1
P77 /AN7 /DA1
P76 /AN6 /DA0
P84 /CS0
P75 /AN5
P74 /AN4
P73 /AN3
P72 /AN2
P71 /AN1
P70 /AN0 78
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
77
VCC TIOCA3 /TP 8 /PB 0 TIOCB3 /TP 9 /PB 1 TIOCA4 /TP10 /PB 2 TIOCB4 /TP11 /PB 3 TOCXA4 /TP12 /PB 4 TOCXB4 /TP13 /PB 5 CS7/DREQ 0 /TP14 /PB 6 ADTRG/DREQ 1 /TP15 /PB 7 VPP */RESO VSS TxD0 /P9 0 TxD1 /P9 1 RxD0 /P9 2 RxD1 /P9 3 IRQ 4/SCK0 /P9 4 IRQ 5/SCK1 /P9 5 D0 /P4 0 D1 /P4 1 D2 /P4 2 D3 /P4 3 VSS D4 /P4 4 D5 /P4 5 D6 /P4 6
76
AV CC
AV SS
VREF
VSS
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
75 74 73 72 71 70 69 68 67 66 65
MD2 MD1 MD0 P66 /LWR P65 /HWR P64 /RD P63 /AS VCC XTAL EXTAL VSS NMI RES STBY o P62 /BACK P61 /BREQ P60 /WAIT VSS P53 /A 19 P52 /A 18 P51 /A 17 P50 /A 16 P27 /A 15 P26 /A 14
Top view (FP-100B, TFP-100B)
64 63 62 61 60 59 58 57 56 55 54 53 52 51
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48 A11/P2 3
49 A12/P2 4
D7 /P4 7
D8 /P3 0
D9 /P3 1
D10/P3 2
D11/P3 3
D12/P3 4
D13/P3 5
D14/P3 6
D15/P3 7
VCC
A 0/P1 0
A 1/P1 1
A 2/P1 2
A 3/P1 3
A 4/P1 4
A 5/P1 5
A 6/P1 6
A 7/P1 7
VSS
A 8/P2 0
A 9/P2 1
A10/P2 2
Note: * VPP function is provided only for the flash memory version.
Figure 1-2 Pin Arrangement (FP-100B or TFP-100B, Top View)
6
A13/P2 5
50
1.3.2 Pin Assignments in Each Mode Table 1-2 lists the pin assignments in each mode. Table 1-2 Pin Assignments in Each Mode (FP-100B or TFP-100B)
Pin No. 1 2 3 4 5 6 7 8 Pin Name Mode 1 VCC PB0/TP8/TIOCA3 PB1/TP9/TIOCB3 PB2/TP10/TIOCA4 PB3/TP11/TIOCB4 PB4/TP12/TOCXA4 PB5/TP13/TOCXB4 PB6/TP14/DREQ0/ CS7 PB7/TP15/DREQ1/ ADTRG RESO VSS P90/TxD0 P91/TxD1 P92/RxD0 P93/RxD1 P94/SCK0/IRQ4 P95/SCK1/IRQ5 P40/D0*1 P41/D1*1 P42/D2*1 P43/D3*1 VSS P44/D4*1 P45/D5*1 P46/D6*1 P47/D7*1 D8 D9 D10 D11 D12 D13 D14 Mode 2 VCC PB0/TP8/TIOCA3 PB1/TP9/TIOCB3 PB2/TP10/TIOCA4 PB3/TP11/TIOCB4 PB4/TP12/TOCXA4 PB5/TP13/TOCXB4 PB6/TP14/DREQ0/ CS7 PB7/TP15/DREQ1/ ADTRG RESO VSS P90/TxD0 P91/TxD1 P92/RxD0 P93/RxD1 P94/SCK0/IRQ4 P95/SCK1/IRQ5 P40/D0*2 P41/D1*2 P42/D2*2 P43/D3*2 VSS P44/D4*2 P45/D5*2 P46/D6*2 P47/D7*2 D8 D9 D10 D11 D12 D13 D14 Mode 3 VCC PB0/TP8/TIOCA3 PB1/TP9/TIOCB3 PB2/TP10/TIOCA4 PB3/TP11/TIOCB4 PB4/TP12/TOCXA4 PB5/TP13/TOCXB4 PB6/TP14/DREQ0/ CS7 PB7/TP15/DREQ1/ ADTRG RESO VSS P90/TxD0 P91/TxD1 P92/RxD0 P93/RxD1 P94/SCK0/IRQ4 P95/SCK1/IRQ5 P40/D0*1 P41/D1*1 P42/D2*1 P43/D3*1 VSS P44/D4*1 P45/D5*1 P46/D6*1 P47/D7*1 D8 D9 D10 D11 D12 D13 D14 Mode 4 VCC PB0/TP8/TIOCA3 PB1/TP9/TIOCB3 PB2/TP10/TIOCA4 PB3/TP11/TIOCB4 PB4/TP12/TOCXA4 PB5/TP13/TOCXB4 PB6/TP14/DREQ0/ CS7 PB7/TP15/DREQ1/ ADTRG RESO VSS P90/TxD0 P91/TxD1 P92/RxD0 P93/RxD1 P94/SCK0/IRQ4 P95/SCK1/IRQ5 P40/D0*2 P41/D1*2 P42/D2*2 P43/D3*2 VSS P44/D4*2 P45/D5*2 P46/D6*2 P47/D7*2 D8 D9 D10 D11 D12 D13 D14 Mode 5 VCC PB0/TP8/TIOCA3 PB1/TP9/TIOCB3 PB2/TP10/TIOCA4 PB3/TP11/TIOCB4 PB4/TP12/TOCXA4 PB5/TP13/TOCXB4 PB6/TP14/DREQ0/ CS7 PB7/TP15/DREQ1/ ADTRG RESO VSS P90/TxD0 P91/TxD1 P92/RxD0 P93/RxD1 P94/SCK0/IRQ4 P95/SCK1/IRQ5 P40/D0*1 P41/D1*1 P42/D2*1 P43/D3*1 VSS P44/D4*1 P45/D5*1 P46/D6*1 P47/D7*1 D8 D9 D10 D11 D12 D13 D14 Mode 6 VCC PB0/TP8/TIOCA3 PB1/TP9/TIOCB3 PB2/TP10/TIOCA4 PB3/TP11/TIOCB4 PB4/TP12/TOCXA4 PB5/TP13/TOCXB4 PB6/TP14/DREQ0/ CS7 PB7/TP15/DREQ1/ ADTRG RESO VSS P90/TxD0 P91/TxD1 P92/RxD0 P93/RxD1 P94/SCK0/IRQ4 P95/SCK1/IRQ5 P40/D0*1 P41/D1*1 P42/D2*1 P43/D3*1 VSS P44/D4*1 P45/D5*1 P46/D6*1 P47/D7*1 D8 D9 D10 D11 D12 D13 D14 Mode 7 VCC PB0/TP8/TIOCA3 PB1/TP9/TIOCB3 PB2/TP10/TIOCA4 PB3/TP11/TIOCB4 PB4/TP12/TOCXA4 PB5/TP13/TOCXB4 PB6/TP14/DREQ0 PB7/TP15/DREQ1/ ADTRG RESO VSS P90/TxD0 P91/TxD1 P92/RxD0 P93/RxD1 P94/SCK0/IRQ4 P95/SCK1/IRQ5 P40 P41 P42 P43 VSS P44 P45 P46 P47 P30 P31 P32 P33 P34 P35 P36 PROM Mode EPROM Flash VCC VCC NC NC NC NC NC NC NC NC NC NC NC NC NC NC
9
NC
NC
10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33
VPP VSS NC NC NC NC NC NC NC NC NC NC VSS NC NC NC NC
VPP VSS NC NC NC NC NC NC NC NC NC NC VSS NC NC NC NC
EO0 I/O0 EO1 I/O1 EO2 I/O2 EO3 I/O3 EO4 I/O4 EO5 I/O5 EO6 I/O6
Notes: 1. 2. 3. 4.
In modes 1, 3, 5, and 6 the P40 to P47 functions of pins P40/D0 to P47/D7 are selected after a reset, but they can be changed by software. In modes 2 and 4 the D0 to D7 functions of pins P40/D0 to P47/D7 are selected after a reset, but they can be changed by software. Pins marked NC should be left unconnected. For details about PROM mode see section 18, ROM.
7
Table 1-2 Pin Assignments in Each Mode (FP-100B or TFP-100B) (cont)
Pin No. 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 Pin Name Mode 1 D15 VCC A0 A1 A2 A3 A4 A5 A6 A7 VSS A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 VSS P60/WAIT P61/BREQ P62/BACK o STBY RES NMI VSS EXTAL XTAL VCC AS RD Mode 2 D15 VCC A0 A1 A2 A3 A4 A5 A6 A7 VSS A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 VSS P60/WAIT P61/BREQ P62/BACK o STBY RES NMI VSS EXTAL XTAL VCC AS RD Mode 3 D15 VCC A0 A1 A2 A3 A4 A5 A6 A7 VSS A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 VSS P60/WAIT P61/BREQ P62/BACK o STBY RES NMI VSS EXTAL XTAL VCC AS RD Mode 4 D15 VCC A0 A1 A2 A3 A4 A5 A6 A7 VSS A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 VSS P60/WAIT P61/BREQ P62/BACK o STBY RES NMI VSS EXTAL XTAL VCC AS RD Mode 5 D15 VCC P10/A0 P11/A1 P12/A2 P13/A3 P14/A4 P15/A5 P16/A6 P17/A7 VSS P20/A8 P21/A9 P22/A10 P23/A11 P24/A12 P25/A13 P26/A14 P27/A15 P50/A16 P51/A17 P52/A18 P53/A19 VSS P60/WAIT P61/BREQ P62/BACK o STBY RES NMI VSS EXTAL XTAL VCC AS RD Mode 6 D15 VCC P10/A0 P11/A1 P12/A2 P13/A3 P14/A4 P15/A5 P16/A6 P17/A7 VSS P20/A8 P21/A9 P22/A10 P23/A11 P24/A12 P25/A13 P26/A14 P27/A15 P50/A16 P51/A17 P52/A18 P53/A19 VSS P60/WAIT P61/BREQ P62/BACK o STBY RES NMI VSS EXTAL XTAL VCC AS RD Mode 7 P37 VCC P10 P11 P12 P13 P14 P15 P16 P17 VSS P20 P21 P22 P23 P24 P25 P26 P27 P50 P51 P52 P53 VSS P60 P61 P62 o STBY RES NMI VSS EXTAL XTAL VCC P63 P64 PROM Mode EPROM Flash EO7 I/O7 VCC VCC EA0 EA1 EA2 EA3 EA4 EA5 EA6 EA7 VSS EA8 OE A0 A1 A2 A3 A4 A5 A6 A7 VSS A8 OE
EA10 A10 EA11 A11 EA12 A12 EA13 A13 EA14 A14 CE CE
VCC VCC VCC VCC NC NC VSS NC NC VSS
EA15 A15 NC NC NC VSS NC EA9 VSS NC NC NC NC NC VCC RES A9 VSS EXTAL XTAL
VCC VCC NC NC A16 NC
Notes: 1. 2. 3. 4.
In modes 1, 3, 5, and 6 the P40 to P47 functions of pins P40/D0 to P47/D7 are selected after a reset, but they can be changed by software. In modes 2 and 4 the D0 to D7 functions of pins P40/D0 to P47/D7 are selected after a reset, but they can be changed by software. Pins marked NC should be left unconnected. For details about PROM mode see section 18, ROM.
8
Table 1-2 Pin Assignments in Each Mode (FP-100B or TFP-100B) (cont)
Pin No. 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 Pin Name Mode 1 HWR LWR MD0 MD1 MD2 AVCC VREF P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS P80/RFSH/IRQ0 P81/CS3/IRQ1 P82/CS2/IRQ2 P83/CS1/IRQ3 P84/CS0 VSS PA0/TP0/TEND0/ TCLKA PA1/TP1/TEND1/ TCLKB PA2/TP2/TIOCA0/ TCLKC PA3/TP3/TIOCB0/ TCLKD PA4/TP4/TIOCA1/ CS6 PA5/TP5/TIOCB1/ CS5 PA6/TP6/TIOCA2/ CS4 PA7/TP7/TIOCB2 Mode 2 HWR LWR MD0 MD1 MD2 AVCC VREF P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS P80/RFSH/IRQ0 P81/CS3/IRQ1 P82/CS2/IRQ2 P83/CS1/IRQ3 P84/CS0 VSS PA0/TP0/TEND0/ TCLKA PA1/TP1/TEND1/ TCLKB PA2/TP2/TIOCA0/ TCLKC PA3/TP3/TIOCB0/ TCLKD PA4/TP4/TIOCA1/ CS6 PA5/TP5/TIOCB1/ CS5 PA6/TP6/TIOCA2/ CS4 PA7/TP7/TIOCB2 Mode 3 HWR LWR MD0 MD1 MD2 AVCC VREF P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS P80/RFSH/IRQ0 P81/CS3/IRQ1 P82/CS2/IRQ2 P83/CS1/IRQ3 P84/CS0 VSS PA0/TP0/TEND0/ TCLKA PA1/TP1/TEND1/ TCLKB PA2/TP2/TIOCA0/ TCLKC PA3/TP3/TIOCB0/ TCLKD PA4/TP4/TIOCA1/ CS6 PA5/TP5/TIOCB1/ CS5 PA6/TP6/TIOCA2/ CS4 A20 Mode 4 HWR LWR MD0 MD1 MD2 AVCC VREF P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS P80/RFSH/IRQ0 P81/CS3/IRQ1 P82/CS2/IRQ2 P83/CS1/IRQ3 P84/CS0 VSS PA0/TP0/TEND0/ TCLKA PA1/TP1/TEND1/ TCLKB PA2/TP2/TIOCA0/ TCLKC PA3/TP3/TIOCB0/ TCLKD PA4/TP4/TIOCA1/ CS6 PA5/TP5/TIOCB1/ CS5 PA6/TP6/TIOCA2/ CS4 A20 Mode 5 HWR LWR MD0 MD1 MD2 AVCC VREF P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS P80/RFSH/IRQ0 P81/CS3/IRQ1 P82/CS2/IRQ2 P83/CS1/IRQ3 P84/CS0 VSS PA0/TP0/TEND0/ TCLKA PA1/TP1/TEND1/ TCLKB PA2/TP2/TIOCA0/ TCLKC PA3/TP3/TIOCB0/ TCLKD PA4/TP4/TIOCA1/ CS6 PA5/TP5/TIOCB1/ CS5 PA6/TP6/TIOCA2/ CS4 PA7/TP7/TIOCB2 Mode 6 HWR LWR MD0 MD1 MD2 AVCC VREF P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS P80/RFSH/IRQ0 P81/CS3/IRQ1 P82/CS2/IRQ2 P83/CS1/IRQ3 P84/CS0 VSS PA0/TP0/TEND0/ TCLKA PA1/TP1/TEND1/ TCLKB PA2/TP2/TIOCA0/ TCLKC PA3/TP3/TIOCB0/ TCLKD PA4/TP4/TIOCA1/ A23/CS6 PA5/TP5/TIOCB1/ A22/CS5 PA6/TP6/TIOCA2/ A21/CS4 A20 Mode 7 P65 P66 MD0 MD1 MD2 AVCC VREF P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS P80/IRQ0 P81/IRQ1 P82/IRQ2 P83/IRQ3 P84 VSS PA0/TP0/TEND0/ TCLKA PA1/TP1/TEND1/ TCLKB PA2/TP2/TIOCA0/ TCLKC PA3/TP3/TIOCB0/ TCLKD PA4/TP4/TIOCA1 PA5/TP5/TIOCB1 PA6/TP6/TIOCA2 PA7/TP7/TIOCB2 PROM Mode EPROM Flash NC VCC NC VSS VSS VSS NC VSS VSS VSS
VCC VCC VCC VCC NC NC NC NC NC NC NC NC VSS NC NC NC NC NC NC NC NC VSS
EA16 NC PGM NC NC NC NC VSS NC VCC WE NC VSS NC
94
NC
NC
95
NC
NC
96
NC
NC
97
NC
NC
98
NC
NC
99
NC
NC
100
NC
NC
Notes: 1. 2. 3. 4.
In modes 1, 3, 5, and 6 the P40 to P47 functions of pins P40/D0 to P47/D7 are selected after a reset, but they can be changed by software. In modes 2 and 4 the D0 to D7 functions of pins P40/D0 to P47/D7 are selected after a reset, but they can be changed by software. Pins marked NC should be left unconnected. For details about PROM mode see section 18, ROM.
9
1.3.3 Pin Functions Table 1-3 summarizes the pin functions. Table 1-3 Pin Functions
Type Power Symbol VCC Pin No. 1, 35, 68 I/O Input Name and Function Power: For connection to the power supply. Connect all VCC pins to the system power supply. Ground: For connection to ground (0 V). Connect all VSS pins to the 0-V system power supply. For connection to a crystal resonator. For examples of crystal resonator and external clock input, see section 19, Clock Pulse Generator. For connection to a crystal resonator or input of an external clock signal. For examples of crystal resonator and external clock input, see section 19, Clock Pulse Generator.
VSS
11, 22, 44, Input 57, 65, 92 67 Input
Clock
XTAL
EXTAL
66
Input
o Operating mode control
61
Output System clock: Supplies the system clock to external devices. Input Mode 2 to mode 0: For setting the operating mode, as follows. Inputs at these pins must not be changed during operation. MD2 0 0 0 0 1 1 1 1 MD1 0 0 1 1 0 0 1 1 MD0 0 1 0 1 0 1 0 1 Operating Mode -- Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Mode 7
MD2 to MD0 75 to 73
10
Table 1-3 Pin Functions (cont)
Type Symbol Pin No. 63 10 I/O Input Name and Function Reset input: When driven low, this pin resets the chip
System control RES RESO (RESO/VPP) STBY BREQ BACK
Output Reset output: Outputs a reset signal to external devices Also used as a power supply for on-board programming of the flash memory version. Input Input Standby: When driven low, this pin forces a transition to hardware standby mode Bus request: Used by an external bus master to request the bus right
62 59 60
Output Bus request acknowledge: Indicates that the bus has been granted to an external bus master Input Input Nonmaskable interrupt: Requests a nonmaskable interrupt Interrupt request 5 to 0: Maskable interrupt request pins
Interrupts
NMI IRQ5 to IRQ0
64 17, 16, 90 to 87 97 to 100, 56 to 45, 43 to 36 34 to 23, 21 to 18
Address bus
A23 to A0
Output Address bus: Outputs address signals
Data bus Bus control
D15 to D0
Input/ output
Data bus: Bidirectional data bus
CS7 to CS0 8, 97 to 99, Output Chip select: Select signals for areas 7 to 0 88 to 91 AS RD HWR 69 70 71 Output Address strobe: Goes low to indicate valid address output on the address bus Output Read: Goes low to indicate reading from the external address space Output High write: Goes low to indicate writing to the external address space; indicates valid data on the upper data bus (D15 to D8). Output Low write: Goes low to indicate writing to the external address space; indicates valid data on the lower data bus (D7 to D0). Input Wait: Requests insertion of wait states in bus cycles during access to the external address space
LWR
72
WAIT
58
11
Table 1-3 Pin Functions (cont)
Type Refresh controller Symbol RFSH CS3 RD Pin No. 87 88 70 I/O Name and Function
Output Refresh: Indicates a refresh cycle Output Row address strobe RAS: Row address strobe signal for DRAM connected to area 3 Output Column address strobe CAS: Column address strobe signal for DRAM connected to area 3; used with 2WE DRAM. Write enable WE: Write enable signal for DRAM connected to area 3; used with 2CAS DRAM.
HWR
71
Output Upper write UW: Write enable signal for DRAM connected to area 3; used with 2WE DRAM. Upper column address strobe UCAS: Column address strobe signal for DRAM connected to area 3; used with 2CAS DRAM.
LWR
72
Output Lower write LW: Write enable signal for DRAM connected to area 3; used with 2WE DRAM. Lower column address strobe LCAS: Column address strobe signal for DRAM connected to area 3; used with 2CAS DRAM.
DMA controller (DMAC)
DREQ1, DREQ0 TEND1, TEND0 TCLKD to TCLKA TIOCA4 to TIOCA0 TIOCB4 to TIOCB0 TOCXA4 TOCXB4
9, 8 94, 93 96 to 93 4, 2, 99, 97, 95 5, 3, 100, 98, 96 6 7
Input
DMA request 1 and 0: DMAC activation requests
Output Transfer end 1 and 0: These signals indicate that the DMAC has ended a data transfer Input Input/ output Input/ output Clock input D to A: External clock inputs Input capture/output compare A4 to A0: GRA4 to GRA0 output compare or input capture, or PWM output Input capture/output compare B4 to B0: GRB4 to GRB0 output compare or input capture, or PWM output
16-bit integrated timer unit (ITU)
Output Output compare XA4: PWM output Output Output compare XB4: PWM output
12
Table 1-3 Pin Functions (cont)
Type Symbol Pin No. 9 to 2, 100 to 93 13, 12 15, 14 17, 16 I/O Name and Function
Programmable TP15 to timing pattern TP0 controller (TPC) Serial communication interface (SCI) TxD1, TxD0 RxD1, RxD0 SCK1, SCK0 A/D converter ADTRG D/A converter A/D and D/A converters DA1, DA0 AVCC
Output TPC output 15 to 0: Pulse output
Output Transmit data (channels 0 and 1): SCI data output Input Input/ output Input Input Receive data (channels 0 and 1): SCI data input Serial clock (channels 0 and 1): SCI clock input/output Analog 7 to 0: Analog input pins A/D trigger: External trigger input for starting A/D conversion
AN7 to AN0 85 to 78 9 85, 84 76
Output Analog output: Analog output from the D/A converter Input Power supply pin for the A/D and D/A converters. Connect to the system power supply (+5 V) when not using the A/D and D/A converters. Ground pin for the A/D and D/A converters. Connect to system ground (0 V). Reference voltage input pin for the A/D and D/A converters. Connect to the system power supply (+5 V) when not using the A/D and D/A converters. Port 1: Eight input/output pins. The direction of each pin can be selected in the port 1 data direction register (P1DDR). Port 2: Eight input/output pins. The direction of each pin can be selected in the port 2 data direction register (P2DDR). Port 3: Eight input/output pins. The direction of each pin can be selected in the port 3 data direction register (P3DDR). Port 4: Eight input/output pins. The direction of each pin can be selected in the port 4 data direction register (P4DDR).
AVSS VREF
86 77
Input Input
I/O ports
P17 to P10
43 to 36
Input/ output Input/ output Input/ output Input/ output
P27 to P20
52 to 45
P37 to P30
34 to 27
P47 to P40
26 to 23, 21 to 18
13
Table 1-3 Pin Functions (cont)
Type I/O ports Symbol P53 to P50 Pin No. 56 to 53 I/O Input/ output Input/ output Input Input/ output Input/ output Input/ output Input/ output Name and Function Port 5: Four input/output pins. The direction of each pin can be selected in the port 5 data direction register (P5DDR). Port 6: Seven input/output pins. The direction of each pin can be selected in the port 6 data direction register (P6DDR). Port 7: Eight input pins Port 8: Five input/output pins. The direction of each pin can be selected in the port 8 data direction register (P8DDR). Port 9: Six input/output pins. The direction of each pin can be selected in the port 9 data direction register (P9DDR). Port A: Eight input/output pins. The direction of each pin can be selected in the port A data direction register (PADDR). Port B: Eight input/output pins. The direction of each pin can be selected in the port B data direction register (PBDDR).
P66 to P60
72 to 69, 60 to 58 85 to 78 91 to 87
P77 to P70 P84 to P80
P95 to P90
17 to 12
PA7 to PA0
100 to 93
PB7 to PB0 9 to 2
14
Section 2 CPU
2.1 Overview
The H8/300H CPU is a high-speed central processing unit with an internal 32-bit architecture that is upward-compatible with the H8/300 CPU. The H8/300H CPU has sixteen 16-bit general registers, can address a 16-Mbyte linear address space, and is ideal for realtime control. 2.1.1 Features The H8/300H CPU has the following features. * Upward compatibility with H8/300 CPU Can execute H8/300 Series object programs * General-register architecture Sixteen 16-bit general registers (also usable as sixteen 8-bit registers or eight 32-bit registers) * Sixty-two basic instructions -- 8/16/32-bit data transfer and arithmetic and logic instructions -- Multiply and divide instructions -- Powerful bit-manipulation instructions * Eight addressing modes -- -- -- -- -- -- -- -- * Register direct [Rn] Register indirect [@ERn] Register indirect with displacement [@(d:16, ERn) or @(d:24, ERn)] Register indirect with post-increment or pre-decrement [@ERn+ or @-ERn] Absolute address [@aa:8, @aa:16, or @aa:24] Immediate [#xx:8, #xx:16, or #xx:32] Program-counter relative [@(d:8, PC) or @(d:16, PC)] Memory indirect [@@aa:8]
16-Mbyte linear address space
15
*
High-speed operation -- -- -- -- -- -- -- All frequently-used instructions execute in two to four states Maximum clock frequency: 18 MHz/16 MHz (flash memory version) 8/16/32-bit register-register add/subtract: 111 ns/125 ns (flash memory version) 8 x 8-bit register-register multiply: 778 ns/875 ns (flash memory version) 16 / 8-bit register-register divide: 778 ns/875 ns (flash memory version) 16 x 16-bit register-register multiply: 1.221 ns/1.375 ns (flash memory version) 32 / 16-bit register-register divide: 1.221 ns/1.375 ns (flash memory version)
*
Two CPU operating modes -- Normal mode (not available in the H8/3048 Series) -- Advanced mode
*
Low-power mode Transition to power-down state by SLEEP instruction
2.1.2 Differences from H8/300 CPU In comparison to the H8/300 CPU, the H8/300H has the following enhancements. * More general registers Eight 16-bit registers have been added. * Expanded address space -- Advanced mode supports a maximum 16-Mbyte address space. -- Normal mode supports the same 64-kbyte address space as the H8/300 CPU. (Normal mode is not available in the H8/3048 Series.) * Enhanced addressing The addressing modes have been enhanced to make effective use of the 16-Mbyte address space. * Enhanced instructions -- Data transfer, arithmetic, and logic instructions can operate on 32-bit data. -- Signed multiply/divide instructions and other instructions have been added.
16
2.2 CPU Operating Modes
The H8/300H CPU has two operating modes: normal and advanced. Normal mode supports a maximum 64-kbyte address space. Advanced mode supports up to 16 Mbytes. See figure 2-1. The H8/3048 Series can be used only in advanced mode. (Information from this point on will apply to advanced mode unless otherwise stated.)
Normal mode
Maximum 64 kbytes, program and data areas combined
CPU operating modes Maximum 16 Mbytes, program and data areas combined
Advanced mode
Figure 2-1 CPU Operating Modes
17
2.3 Address Space
The maximum address space of the H8/300H CPU is 16 Mbytes. The H8/3048 Series has various operating modes (MCU modes), some providing a 1-Mbyte address space, the others supporting the full 16 Mbytes. Figure 2-2 shows the address ranges of the H8/3048 Series. For further details see section 3.6, Memory Map in Each Operating Mode. The 1-Mbyte operating modes use 20-bit addressing. The upper 4 bits of effective addresses are ignored.
H'00000
H'000000
H'FFFFF
H'FFFFFF a. 1-Mbyte modes b. 16-Mbyte modes
Figure 2-2 Memory Map
18
2.4 Register Configuration
2.4.1 Overview The H8/300H CPU has the internal registers shown in figure 2-3. There are two types of registers: general registers and control registers.
General Registers (ERn) 15 ER0 ER1 ER2 ER3 ER4 ER5 ER6 ER7 Control Registers (CR) 23 PC 76543210 CCR I UI H U N Z V C Legend SP: Stack pointer PC: Program counter CCR: Condition code register Interrupt mask bit I: User bit or interrupt mask bit UI: Half-carry flag H: User bit U: Negative flag N: Zero flag Z: Overflow flag V: Carry flag C: 0 E0 E1 E2 E3 E4 E5 E6 E7 (SP) 07 R0H R1H R2H R3H R4H R5H R6H R7H 07 R0L R1L R2L R3L R4L R5L R6L R7L 0
Figure 2-3 CPU Internal Registers
19
2.4.2 General Registers The H8/300H CPU has eight 32-bit general registers. These general registers are all functionally alike and can be used without distinction between data registers and address registers. When a general register is used as a data register, it can be accessed as a 32-bit, 16-bit, or 8-bit register. When the general registers are used as 32-bit registers or as address registers, they are designated by the letters ER (ER0 to ER7). The ER registers divide into 16-bit general registers designated by the letters E (E0 to E7) and R (R0 to R7). These registers are functionally equivalent, providing a maximum sixteen 16-bit registers. The E registers (E0 to E7) are also referred to as extended registers. The R registers divide into 8-bit general registers designated by the letters RH (R0H to R7H) and RL (R0L to R7L). These registers are functionally equivalent, providing a maximum sixteen 8-bit registers. Figure 2-4 illustrates the usage of the general registers. The usage of each register can be selected independently.
* Address registers * 32-bit registers
* 16-bit registers E registers (extended registers) E0 to E7
* 8-bit registers
ER registers ER0 to ER7 R registers R0 to R7
RH registers R0H to R7H
RL registers R0L to R7L
Figure 2-4 Usage of General Registers
20
General register ER7 has the function of stack pointer (SP) in addition to its general-register function, and is used implicitly in exception handling and subroutine calls. Figure 2-5 shows the stack.
Free area SP (ER7) Stack area
Figure 2-5 Stack 2.4.3 Control Registers The control registers are the 24-bit program counter (PC) and the 8-bit condition code register (CCR). Program Counter (PC): This 24-bit counter indicates the address of the next instruction the CPU will execute. The length of all CPU instructions is 2 bytes (one word) or a multiple of 2 bytes, so the least significant PC bit is ignored. When an instruction is fetched, the least significant PC bit is regarded as 0. Condition Code Register (CCR): This 8-bit register contains internal CPU status information, including the interrupt mask bit (I) and half-carry (H), negative (N), zero (Z), overflow (V), and carry (C) flags. Bit 7--Interrupt Mask Bit (I): Masks interrupts other than NMI when set to 1. NMI is accepted regardless of the I bit setting. The I bit is set to 1 at the start of an exception-handling sequence. Bit 6--User Bit or Interrupt Mask Bit (UI): Can be written and read by software using the LDC, STC, ANDC, ORC, and XORC instructions. This bit can also be used as an interrupt mask bit. For details see section 5, Interrupt Controller.
21
Bit 5--Half-Carry Flag (H): When the ADD.B, ADDX.B, SUB.B, SUBX.B, CMP.B, or NEG.B instruction is executed, this flag is set to 1 if there is a carry or borrow at bit 3, and cleared to 0 otherwise. When the ADD.W, SUB.W, CMP.W, or NEG.W instruction is executed, the H flag is set to 1 if there is a carry or borrow at bit 11, and cleared to 0 otherwise. When the ADD.L, SUB.L, CMP.L, or NEG.L instruction is executed, the H flag is set to 1 if there is a carry or borrow at bit 27, and cleared to 0 otherwise. Bit 4--User Bit (U): Can be written and read by software using the LDC, STC, ANDC, ORC, and XORC instructions. Bit 3--Negative Flag (N): Indicates the most significant bit (sign bit) of data. Bit 2--Zero Flag (Z): Set to 1 to indicate zero data, and cleared to 0 to indicate non-zero data. Bit 1--Overflow Flag (V): Set to 1 when an arithmetic overflow occurs, and cleared to 0 at other times. Bit 0--Carry Flag (C): Set to 1 when a carry occurs, and cleared to 0 otherwise. Used by: * * * Add instructions, to indicate a carry Subtract instructions, to indicate a borrow Shift and rotate instructions, to store the value shifted out of the end bit
The carry flag is also used as a bit accumulator by bit manipulation instructions. Some instructions leave flag bits unchanged. Operations can be performed on CCR by the LDC, STC, ANDC, ORC, and XORC instructions. The N, Z, V, and C flags are used by conditional branch (Bcc) instructions. For the action of each instruction on the flag bits, see appendix A.1, Instruction List. For the I and UI bits, see section 5, Interrupt Controller. 2.4.4 Initial CPU Register Values In reset exception handling, PC is initialized to a value loaded from the vector table, and the I bit in CCR is set to 1. The other CCR bits and the general registers are not initialized. In particular, the stack pointer (ER7) is not initialized. The stack pointer must therefore be initialized by an MOV.L instruction executed immediately after a reset.
22
2.5 Data Formats
The H8/300H CPU can process 1-bit, 4-bit (BCD), 8-bit (byte), 16-bit (word), and 32-bit (longword) data. Bit-manipulation instructions operate on 1-bit data by accessing bit n (n = 0, 1, 2, ..., 7) of byte operand data. The DAA and DAS decimal-adjust instructions treat byte data as two digits of 4-bit BCD data. 2.5.1 General Register Data Formats Figures 2-6 and 2-7 show the data formats in general registers.
Data Type
General Register
Data Format 7 0 Don't care 7 0
1-bit data
RnH
76543210
1-bit data
RnL 7
Don't care 43 0
76543210
4-bit BCD data
RnH
Upper digit Lower digit
Don't care 7 43 0
4-bit BCD data
RnL 7
Don't care 0
Upper digit Lower digit
Byte data
RnH MSB LSB 7
Don't care 0 LSB
Byte data
RnL
Don't care MSB
Figure 2-6 General Register Data Formats (1)
23
Data Type
General Register
Data Format 15 0 LSB
Word data
Rn MSB 15 0 LSB 16 15 0 LSB
Word data
En MSB 31
Longword data ERn MSB Legend ERn: General register En: General register E Rn: General register R RnH: General register RH RnL: General register RL MSB: Most significant bit LSB: Least significant bit
Figure 2-7 General Register Data Formats (2)
24
2.5.2 Memory Data Formats Figure 2-8 shows the data formats on memory. The H8/300H CPU can access word data and longword data on memory, but word or longword data must begin at an even address. If an attempt is made to access word or longword data at an odd address, no address error occurs but the least significant bit of the address is regarded as 0, so the access starts at the preceding address. This also applies to instruction fetches.
Data Type
Address
Data Format
7 1-bit data Byte data Word data Address L Address L Address 2M Address 2M + 1 Address 2N Longword data Address 2N + 1 Address 2N + 2 Address 2N + 3
MSB
0 6 5 4 3 2 1 0
LSB
7
MSB
MSB LSB
LSB
Figure 2-8 Memory Data Formats When ER7 (SP) is used as an address register to access the stack, the operand size should be word size or longword size.
25
2.6 Instruction Set
2.6.1 Instruction Set Overview The H8/300H CPU has 62 types of instructions, which are classified in table 2-1. Table 2-1 Instruction Classification
Function Data transfer Arithmetic operations Logic operations Shift operations Bit manipulation Branch System control Block data transfer Instruction MOV, PUSH*1, POP*1, MOVTPE*2, MOVFPE*2 ADD, SUB, ADDX, SUBX, INC, DEC, ADDS, SUBS, DAA, DAS, MULXU, MULXS, DIVXU, DIVXS, CMP, NEG, EXTS, EXTU AND, OR, XOR, NOT SHAL, SHAR, SHLL, SHLR, ROTL, ROTR, ROTXL, ROTXR BSET, BCLR, BNOT, BTST, BAND, BIAND, BOR, BIOR, BXOR, BIXOR, BLD, BILD, BST, BIST Bcc*3, JMP, BSR, JSR, RTS TRAPA, RTE, SLEEP, LDC, STC, ANDC, ORC, XORC, NOP EEPMOV Types 3 18 4 8 14 5 9 1 Total 62 types Notes: 1. POP.W Rn is identical to MOV.W @SP+, Rn. PUSH.W Rn is identical to MOV.W Rn, @-SP. POP.L ERn is identical to MOV.L @SP+, Rn. PUSH.L ERn is identical to MOV.L Rn, @-SP. 2. Not available in the H8/3048 Series. 3. Bcc is a generic branching instruction.
26
2.6.2 Instructions and Addressing Modes Table 2-2 indicates the instructions available in the H8/300H CPU. Table 2-2 Instructions and Addressing Modes
Addressing Modes @ @ (d:16, (d:24, @ERn+/ @ @ERn ERn) ERn) @-ERn aa:8 BWL -- -- -- -- -- -- -- -- -- BWL -- -- -- -- -- -- -- -- -- BWL -- -- -- -- -- -- -- -- -- B -- -- -- -- -- -- -- -- -- @ aa:16 BWL -- B -- -- -- -- -- -- -- @ @ (d:8, aa:24 PC) BWL -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- @ (d:16, @@ PC) aa:8 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
Function Data transfer
Instruction MOV POP, PUSH MOVFPE, MOVTPE
#xx
Rn
-- -- WL -- -- -- -- -- -- -- --
BWL BWL BWL -- -- -- -- -- --
Arithmetic ADD, CMP operations SUB
BWL BWL -- WL BWL -- B L -- --
ADDX, SUBX B ADDS, SUBS -- INC, DEC DAA, DAS MULXU, MULXS, DIVXU, DIVXS NEG EXTU, EXTS Logic AND, OR, operations XOR NOT Shift instructions Bit manipulation Branch Bcc, BSR JMP, JSR RTS System control TRAPA RTE SLEEP LDC STC -- -- --
BWL -- B BW -- --
-- --
BWL -- WL --
-- -- -- -- -- -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- W W -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- W W -- -- --
-- -- -- -- -- B -- -- -- -- -- -- -- -- -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- W W -- -- --
-- -- -- -- -- -- --
-- -- -- -- -- --
-- -- -- -- -- --
-- -- -- -- -- -- --
-- -- -- -- -- -- -- --
BWL BWL -- -- -- -- -- -- -- -- -- -- B -- BWL -- BWL -- B -- -- -- -- -- -- B B -- -- -- -- -- -- -- W W -- -- -- B --
-- -- -- -- -- W W -- -- -- -- -- -- -- -- -- -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- -- -- -- W W -- -- --
-- -- --
ANDC, ORC, B XORC NOP Block data transfer Legend B: Byte W: Word L: Longword -- --
BW
27
2.6.3 Tables of Instructions Classified by Function Tables 2-3 to 2-10 summarize the instructions in each functional category. The operation notation used in these tables is defined next. Operation Notation
Rd Rs Rn ERn (EAd) (EAs) CCR N Z V C PC SP #IMM disp + - x / :3/:8/:16/:24 General register (destination)* General register (source)* General register* General register (32-bit register or address register) Destination operand Source operand Condition code register N (negative) flag of CCR Z (zero) flag of CCR V (overflow) flag of CCR C (carry) flag of CCR Program counter Stack pointer Immediate data Displacement Addition Subtraction Multiplication Division AND logical OR logical Exclusive OR logical Move NOT (logical complement) 3-, 8-, 16-, or 24-bit length
Note: * General registers include 8-bit registers (R0H to R7H, R0L to R7L), 16-bit registers (R0 to R7, E0 to E7), and 32-bit data or address registers (ER0 to ER7).
28
Table 2-3 Data Transfer Instructions
Instruction Size* MOV B/W/L Function (EAs) Rd, Rs (EAd) Moves data between two general registers or between a general register and memory, or moves immediate data to a general register. MOVFPE B (EAs) Rd Cannot be used in the H8/3048 Series. MOVTPE B Rs (EAs) Cannot be used in the H8/3048 Series. POP W/L @SP+ Rn Pops a general register from the stack. POP.W Rn is identical to MOV.W @SP+, Rn. Similarly, POP.L ERn is identical to MOV.L @SP+, ERn. PUSH W/L Rn @-SP Pushes a general register onto the stack. PUSH.W Rn is identical to MOV.W Rn, @-SP. Similarly, PUSH.L ERn is identical to MOV.L ERn, @-SP. Note: * Size refers to the operand size. B: Byte W: Word L: Longword
29
Table 2-4 Arithmetic Operation Instructions
Instruction Size* ADD, SUB B/W/L Function Rd Rs Rd, Rd #IMM Rd Performs addition or subtraction on data in two general registers, or on immediate data and data in a general register. (Immediate byte data cannot be subtracted from data in a general register. Use the SUBX or ADD instruction.) B Rd Rs C Rd, Rd #IMM C Rd Performs addition or subtraction with carry or borrow on data in two general registers, or on immediate data and data in a general register. B/W/L Rd 1 Rd, Rd 2 Rd Increments or decrements a general register by 1 or 2. (Byte operands can be incremented or decremented by 1 only.) L Rd 1 Rd, Rd 2 Rd, Rd 4 Rd Adds or subtracts the value 1, 2, or 4 to or from data in a 32-bit register. B Rd decimal adjust Rd Decimal-adjusts an addition or subtraction result in a general register by referring to CCR to produce 4-bit BCD data. B/W Rd x Rs Rd Performs unsigned multiplication on data in two general registers: either 8 bits x 8 bits 16 bits or 16 bits x 16 bits 32 bits. MULXS B/W Rd x Rs Rd Performs signed multiplication on data in two general registers: either 8 bits x 8 bits 16 bits or 16 bits x 16 bits 32 bits. Note: * Size refers to the operand size. B: Byte W: Word L: Longword
ADDX, SUBX
INC, DEC
ADDS, SUBS DAA, DAS
MULXU
30
Table 2-4 Arithmetic Operation Instructions (cont)
Instruction Size* DIVXU B/W Function Rd / Rs Rd Performs unsigned division on data in two general registers: either 16 bits / 8 bits 8-bit quotient and 8-bit remainder or 32 bits / 16 bits 16-bit quotient and 16-bit remainder. DIVXS B/W Rd / Rs Rd Performs signed division on data in two general registers: either 16 bits / 8 bits 8-bit quotient and 8-bit remainder, or 32 bits / 16 bits 16-bit quotient and 16-bit remainder. CMP B/W/L Rd - Rs, Rd - #IMM Compares data in a general register with data in another general register or with immediate data, and sets CCR according to the result. NEG B/W/L 0 - Rd Rd Takes the two's complement (arithmetic complement) of data in a general register. EXTS W/L Rd (sign extension) Rd Extends byte data in the lower 8 bits of a 16-bit register to word data, or extends word data in the lower 16 bits of a 32-bit register to longword data, by extending the sign bit. EXTU W/L Rd (zero extension) Rd Extends byte data in the lower 8 bits of a 16-bit register to word data, or extends word data in the lower 16 bits of a 32-bit register to longword data, by padding with zeros. Note: * Size refers to the operand size. B: Byte W: Word L: Longword
31
Table 2-5 Logic Operation Instructions
Instruction Size* AND B/W/L Function Rd Rs Rd, Rd #IMM Rd Performs a logical AND operation on a general register and another general register or immediate data. OR B/W/L Rd Rs Rd, Rd #IMM Rd Performs a logical OR operation on a general register and another general register or immediate data. XOR B/W/L Rd Rs Rd, Rd #IMM Rd Performs a logical exclusive OR operation on a general register and another general register or immediate data. NOT B/W/L Rd Rd Takes the one's complement of general register contents. Note: * Size refers to the operand size. B: Byte W: Word L: Longword
Table 2-6 Shift Instructions
Instruction Size* SHAL, SHAR SHLL, SHLR ROTL, ROTR ROTXL, ROTXR B/W/L Function Rd (shift) Rd Performs an arithmetic shift on general register contents. B/W/L Rd (shift) Rd Performs a logical shift on general register contents. B/W/L Rd (rotate) Rd Rotates general register contents. B/W/L Rd (rotate) Rd Rotates general register contents through the carry bit.
Note: * Size refers to the operand size. B: Byte W: Word L: Longword
32
Table 2-7 Bit Manipulation Instructions
Instruction Size* BSET B Function 1 ( of ) Sets a specified bit in a general register or memory operand to 1. The bit number is specified by 3-bit immediate data or the lower 3 bits of a general register. BCLR B 0 ( of ) Clears a specified bit in a general register or memory operand to 0. The bit number is specified by 3-bit immediate data or the lower 3 bits of a general register. BNOT B ( of ) ( of ) Inverts a specified bit in a general register or memory operand. The bit number is specified by 3-bit immediate data or the lower 3 bits of a general register. BTST B ( of ) Z Tests a specified bit in a general register or memory operand and sets or clears the Z flag accordingly. The bit number is specified by 3-bit immediate data or the lower 3 bits of a general register. BAND B C ( of ) C ANDs the carry flag with a specified bit in a general register or memory operand and stores the result in the carry flag. BIAND B C [ ( of )] C ANDs the carry flag with the inverse of a specified bit in a general register or memory operand and stores the result in the carry flag. The bit number is specified by 3-bit immediate data. Note: * Size refers to the operand size. B: Byte
33
Table 2-7 Bit Manipulation Instructions (cont)
Instruction Size* BOR B Function C ( of ) C ORs the carry flag with a specified bit in a general register or memory operand and stores the result in the carry flag. BIOR B C [ ( of )] C ORs the carry flag with the inverse of a specified bit in a general register or memory operand and stores the result in the carry flag. The bit number is specified by 3-bit immediate data. BXOR B C ( of ) C Exclusive-ORs the carry flag with a specified bit in a general register or memory operand and stores the result in the carry flag. BIXOR B C [ ( of )] C Exclusive-ORs the carry flag with the inverse of a specified bit in a general register or memory operand and stores the result in the carry flag. The bit number is specified by 3-bit immediate data. BLD B ( of ) C Transfers a specified bit in a general register or memory operand to the carry flag. BILD B ( of ) C Transfers the inverse of a specified bit in a general register or memory operand to the carry flag. The bit number is specified by 3-bit immediate data. BST B C ( of ) Transfers the carry flag value to a specified bit in a general register or memory operand. BIST B C ( of ) Transfers the inverse of the carry flag value to a specified bit in a general register or memory operand. The bit number is specified by 3-bit immediate data. Note: * Size refers to the operand size. B: Byte
34
Table 2-8 Branching Instructions
Instruction Size Bcc -- Function Branches to a specified address if a specified condition is true. The branching conditions are listed below. Mnemonic BRA (BT) BRN (BF) BHI BLS Bcc (BHS) BCS (BLO) BNE BEQ BVC BVS BPL BMI BGE BLT BGT BLE JMP BSR JSR RTS -- -- -- -- Description Always (true) Never (false) High Low or same Carry clear (high or same) Carry set (low) Not equal Equal Overflow clear Overflow set Plus Minus Greater or equal Less than Greater than Less or equal Condition Always Never CZ=0 CZ=1 C=0 C=1 Z=0 Z=1 V=0 V=1 N=0 N=1 NV=0 NV=1 Z (N V) = 0 Z (N V) = 1
Branches unconditionally to a specified address Branches to a subroutine at a specified address Branches to a subroutine at a specified address Returns from a subroutine
35
Table 2-9 System Control Instructions
Instruction Size* TRAPA RTE SLEEP LDC -- -- -- B/W Function Starts trap-instruction exception handling Returns from an exception-handling routine Causes a transition to the power-down state (EAs) CCR Moves the source operand contents to the condition code register. The condition code register size is one byte, but in transfer from memory, data is read by word access. STC B/W CCR (EAd) Transfers the CCR contents to a destination location. The condition code register size is one byte, but in transfer to memory, data is written by word access. ANDC B CCR #IMM CCR Logically ANDs the condition code register with immediate data. ORC B CCR #IMM CCR Logically ORs the condition code register with immediate data. XORC B CCR #IMM CCR Logically exclusive-ORs the condition code register with immediate data. NOP -- PC + 2 PC Only increments the program counter. Note: * Size refers to the operand size. B: Byte W: Word
36
Table 2-10 Block Transfer Instruction
Instruction Size EEPMOV.B -- Function if R4L 0 then repeat @ER5+ @ER6+, R4L - 1 R4L until R4L = 0 else next; if R4 0 then repeat @ER5+ @ER6+, R4 - 1 R4 until R4 = 0 else next; Transfers a data block according to parameters set in general registers R4L or R4, ER5, and ER6. R4L or R4: Size of block (bytes) ER5: Starting source address ER6: Starting destination address Execution of the next instruction begins as soon as the transfer is completed.
EEPMOV.W --
37
2.6.4 Basic Instruction Formats The H8/300H instructions consist of 2-byte (1-word) units. An instruction consists of an operation field (OP field), a register field (r field), an effective address extension (EA field), and a condition field (cc). Operation Field: Indicates the function of the instruction, the addressing mode, and the operation to be carried out on the operand. The operation field always includes the first 4 bits of the instruction. Some instructions have two operation fields. Register Field: Specifies a general register. Address registers are specified by 3 bits, data registers by 3 bits or 4 bits. Some instructions have two register fields. Some have no register field. Effective Address Extension: Eight, 16, or 32 bits specifying immediate data, an absolute address, or a displacement. A 24-bit address or displacement is treated as 32-bit data in which the first 8 bits are 0 (H'00). Condition Field: Specifies the branching condition of Bcc instructions. Figure 2-9 shows examples of instruction formats.
Operation field only op Operation field and register fields op rn rm ADD.B Rn, Rm, etc. NOP, RTS, etc.
Operation field, register fields, and effective address extension op EA (disp) Operation field, effective address extension, and condition field op cc EA (disp) BRA d:8 rn rm MOV.B @(d:16, Rn), Rm
Figure 2-9 Instruction Formats
38
2.6.5 Notes on Use of Bit Manipulation Instructions The BSET, BCLR, BNOT, BST, and BIST instructions read a byte of data, modify a bit in the byte, then write the byte back. Care is required when these instructions are used to access registers with write-only bits, or to access ports. The BCLR instruction can be used to clear flags in the on-chip registers. In an interrupt-handling routine, for example, if it is known that the flag is set to 1, it is not necessary to read the flag ahead of time.
2.7 Addressing Modes and Effective Address Calculation
2.7.1 Addressing Modes The H8/300H CPU supports the eight addressing modes listed in table 2-11. Each instruction uses a subset of these addressing modes. Arithmetic and logic instructions can use the register direct and immediate modes. Data transfer instructions can use all addressing modes except programcounter relative and memory indirect. Bit manipulation instructions use register direct, register indirect, or absolute (@aa:8) addressing mode to specify an operand, and register direct (BSET, BCLR, BNOT, and BTST instructions) or immediate (3-bit) addressing mode to specify a bit number in the operand. Table 2-11 Addressing Modes
No. 1 2 3 4 5 6 7 8 Addressing Mode Register direct Register indirect Register indirect with displacement Register indirect with post-increment Register indirect with pre-decrement Absolute address Immediate Program-counter relative Memory indirect Symbol Rn @ERn @(d:16, ERn)/@(d:24, ERn) @ERn+ @-ERn @aa:8/@aa:16/@aa:24 #xx:8/#xx:16/#xx:32 @(d:8, PC)/@(d:16, PC) @@aa:8
39
1 Register Direct--Rn: The register field of the instruction code specifies an 8-, 16-, or 32-bit register containing the operand. R0H to R7H and R0L to R7L can be specified as 8-bit registers. R0 to R7 and E0 to E7 can be specified as 16-bit registers. ER0 to ER7 can be specified as 32-bit registers. 2 Register Indirect--@ERn: The register field of the instruction code specifies an address register (ERn), the lower 24 bits of which contain the address of the operand. 3 Register Indirect with Displacement--@(d:16, ERn) or @(d:24, ERn): A 16-bit or 24-bit displacement contained in the instruction code is added to the contents of an address register (ERn) specified by the register field of the instruction, and the lower 24 bits of the sum specify the address of a memory operand. A 16-bit displacement is sign-extended when added. 4 Register Indirect with Post-Increment or Pre-Decrement--@ERn+ or @-ERn: * Register indirect with post-increment--@ERn+ The register field of the instruction code specifies an address register (ERn) the lower 24 bits of which contain the address of a memory operand. After the operand is accessed, 1, 2, or 4 is added to the address register contents (32 bits) and the sum is stored in the address register. The value added is 1 for byte access, 2 for word access, or 4 for longword access. For word or longword access, the register value should be even. * Register indirect with pre-decrement--@-ERn The value 1, 2, or 4 is subtracted from an address register (ERn) specified by the register field in the instruction code, and the lower 24 bits of the result become the address of a memory operand. The result is also stored in the address register. The value subtracted is 1 for byte access, 2 for word access, or 4 for longword access. For word or longword access, the resulting register value should be even. 5 Absolute Address--@aa:8, @aa:16, or @aa:24: The instruction code contains the absolute address of a memory operand. The absolute address may be 8 bits long (@aa:8), 16 bits long (@aa:16), or 24 bits long (@aa:24). For an 8-bit absolute address, the upper 16 bits are all assumed to be 1 (H'FFFF). For a 16-bit absolute address the upper 8 bits are a sign extension. A 24-bit absolute address can access the entire address space. Table 2-12 indicates the accessible address ranges.
40
Table 2-12 Absolute Address Access Ranges
Absolute Address 8 bits (@aa:8) 16 bits (@aa:16) 1-Mbyte Modes H'FFF00 to H'FFFFF (1048320 to 1048575) H'00000 to H'07FFF, H'F8000 to H'FFFFF (0 to 32767, 1015808 to 1048575) H'00000 to H'FFFFF (0 to 1048575) 16-Mbyte Modes H'FFFF00 to H'FFFFFF (16776960 to 16777215) H'000000 to H'007FFF, H'FF8000 to H'FFFFFF (0 to 32767, 16744448 to 16777215) H'000000 to H'FFFFFF (0 to 16777215)
24 bits (@aa:24)
6 Immediate--#xx:8, #xx:16, or #xx:32: The instruction code contains 8-bit (#xx:8), 16-bit (#xx:16), or 32-bit (#xx:32) immediate data as an operand. The instruction codes of the ADDS, SUBS, INC, and DEC instructions contain immediate data implicitly. The instruction codes of some bit manipulation instructions contain 3-bit immediate data specifying a bit number. The TRAPA instruction code contains 2-bit immediate data specifying a vector address. 7 Program-Counter Relative--@(d:8, PC) or @(d:16, PC): This mode is used in the Bcc and BSR instructions. An 8-bit or 16-bit displacement contained in the instruction code is signextended to 24 bits and added to the 24-bit PC contents to generate a 24-bit branch address. The PC value to which the displacement is added is the address of the first byte of the next instruction, so the possible branching range is -126 to +128 bytes (-63 to +64 words) or -32766 to +32768 bytes (-16383 to +16384 words) from the branch instruction. The resulting value should be an even number. 8 Memory Indirect--@@aa:8: This mode can be used by the JMP and JSR instructions. The instruction code contains an 8-bit absolute address specifying a memory operand. This memory operand contains a branch address. The memory operand is accessed by longword access. The first byte of the memory operand is ignored, generating a 24-bit branch address. See figure 2-10. The upper bits of the 8-bit absolute address are assumed to be 0 (H'0000), so the address range is 0 to 255 (H'000000 to H'0000FF). Note that the first part of this range is also the exception vector area. For further details see section 5, Interrupt Controller.
41
Specified by @aa:8
Reserved
Branch address
Figure 2-10 Memory-Indirect Branch Address Specification When a word-size or longword-size memory operand is specified, or when a branch address is specified, if the specified memory address is odd, the least significant bit is regarded as 0. The accessed data or instruction code therefore begins at the preceding address. See section 2.5.2, Memory Data Formats. 2.7.2 Effective Address Calculation Table 2-13 explains how an effective address is calculated in each addressing mode. In the 1-Mbyte operating modes the upper 4 bits of the calculated address are ignored in order to generate a 20-bit effective address.
42
Table 2-13 Effective Address Calculation
No. 1 Addressing Mode and Instruction Format Register direct (Rn) op 2 rm rn 31 General register contents op 3 r 31 General register contents 0 23 0 0 23 0 Effective Address Calculation Effective Address Operand is general register contents
Register indirect (@ERn)
Register indirect with displacement @(d:16, ERn)/@(d:24, ERn)
op
r
disp
Sign extension
disp
43 4
Register indirect with post-increment or pre-decrement Register indirect with post-increment @ERn+ 31 General register contents 0 23 0
op
r 31
1, 2, or 4 0 General register contents 23 0
Register indirect with pre-decrement @-ERn
op
r
1, 2, or 4 1 for a byte operand, 2 for a word operand, 4 for a longword operand
Table 2-13 Effective Address Calculation (cont)
No. 5 Addressing Mode and Instruction Format Absolute address @aa:8 op @aa:16 op @aa:24 44 6 7 op abs Immediate #xx:8, #xx:16, or #xx:32 op IMM Operand is immediate data abs 23 0 abs 23 16 15 0
Sign extension
Effective Address Calculation
Effective Address 23 H'FFFF 87 0
Program-counter relative @(d:8, PC) or @(d:16, PC)
23 PC contents
Sign extension
0 23 0
disp
op
disp
Table 2-13 Effective Address Calculation (cont)
No. 8 Addressing Mode and Instruction Format Memory indirect @@aa:8 op abs 23 H'0000 31 Memory contents 87 abs 0 23 0 0 Effective Address Calculation Effective Address
Legend r, rm, rn: op: disp: IMM: abs:
Register field Operation field Displacement Immediate data Absolute address
45
2.8 Processing States
2.8.1 Overview The H8/300H CPU has five processing states: the program execution state, exception-handling state, power-down state, reset state, and bus-released state. The power-down state includes sleep mode, software standby mode, and hardware standby mode. Figure 2-11 classifies the processing states. Figure 2-13 indicates the state transitions.
Processing states
Program execution state The CPU executes program instructions in sequence Exception-handling state A transient state in which the CPU executes a hardware sequence (saving PC and CCR, fetching a vector, etc.) in response to a reset, interrupt, or other exception
Bus-released state The external bus has been released in response to a bus request signal from a bus master other than the CPU Reset state The CPU and all on-chip supporting modules are initialized and halted
Power-down state The CPU is halted to conserve power
Sleep mode
Software standby mode
Hardware standby mode
Figure 2-11 Processing States
46
2.8.2 Program Execution State In this state the CPU executes program instructions in normal sequence. 2.8.3 Exception-Handling State The exception-handling state is a transient state that occurs when the CPU alters the normal program flow due to a reset, interrupt, or trap instruction. The CPU fetches a starting address from the exception vector table and branches to that address. In interrupt and trap exception handling the CPU references the stack pointer (ER7) and saves the program counter and condition code register. Types of Exception Handling and Their Priority: Exception handling is performed for resets, interrupts, and trap instructions. Table 2-14 indicates the types of exception handling and their priority. Trap instruction exceptions are accepted at all times in the program execution state. Table 2-14 Exception Handling Types and Priority
Priority Type of Exception Detection Timing High Reset Interrupt Synchronized with clock End of instruction execution or end of exception handling* When TRAPA instruction is executed Start of Exception Handling Exception handling starts immediately when RES changes from low to high When an interrupt is requested, exception handling starts at the end of the current instruction or current exception-handling sequence Exception handling starts when a trap (TRAPA) instruction is executed
Trap instruction Low
Note: * Interrupts are not detected at the end of the ANDC, ORC, XORC, and LDC instructions, or immediately after reset exception handling.
Figure 2-12 classifies the exception sources. For further details about exception sources, vector numbers, and vector addresses, see section 4, Exception Handling, and section 5, Interrupt Controller.
47
Reset External interrupts Exception sources Interrupt Internal interrupts (from on-chip supporting modules) Trap instruction
Figure 2-12 Classification of Exception Sources
End of bus release Bus request Program execution state End of bus release Bus request Exception Bus-released state End of exception handling Exception-handling state
SLEEP instruction with SSBY = 0 Sleep mode
Interrupt NMI, IRQ 0 , IRQ 1, or IRQ 2 interrupt
SLEEP instruction with SSBY = 1
Software standby mode
RES = 1 STBY = 1, RES = 0 Reset state*1
Hardware standby mode Power-down state
*2
Notes: 1. From any state except hardware standby mode, a transition to the reset state occurs whenever RES goes low. 2. From any state, a transition to hardware standby mode occurs when STBY goes low.
Figure 2-13 State Transitions
48
2.8.4 Exception-Handling Sequences Reset Exception Handling: Reset exception handling has the highest priority. The reset state is entered when the RES signal goes low. Reset exception handling starts after that, when RES changes from low to high. When reset exception handling starts the CPU fetches a start address from the exception vector table and starts program execution from that address. All interrupts, including NMI, are disabled during the reset exception-handling sequence and immediately after it ends. Interrupt Exception Handling and Trap Instruction Exception Handling: When these exception-handling sequences begin, the CPU references the stack pointer (ER7) and pushes the program counter and condition code register on the stack. Next, if the UE bit in the system control register (SYSCR) is set to 1, the CPU sets the I bit in the condition code register to 1. If the UE bit is cleared to 0, the CPU sets both the I bit and the UI bit in the condition code register to 1. Then the CPU fetches a start address from the exception vector table and execution branches to that address. Figure 2-14 shows the stack after the exception-handling sequence.
SP-4 SP-3 SP-2 SP-1 SP (ER7) Stack area
SP (ER7) SP+1 SP+2 SP+3 SP+4
CCR
PC
Even address
Before exception handling starts Legend CCR: Condition code register SP: Stack pointer
Pushed on stack
After exception handling ends
Notes: 1. PC is the address of the first instruction executed after the return from the exception-handling routine. 2. Registers must be saved and restored by word access or longword access, starting at an even address.
Figure 2-14 Stack Structure after Exception Handling
49
2.8.5 Bus-Released State In this state the bus is released to a bus master other than the CPU, in response to a bus request. The bus masters other than the CPU are the DMA controller, the refresh controller, and an external bus master. While the bus is released, the CPU halts except for internal operations. Interrupt requests are not accepted. For details see section 6.3.7, Bus Arbiter Operation. 2.8.6 Reset State When the RES input goes low all current processing stops and the CPU enters the reset state. The I bit in the condition code register is set to 1 by a reset. All interrupts are masked in the reset state. Reset exception handling starts when the RES signal changes from low to high. The reset state can also be entered by a watchdog timer overflow. For details see section 12, Watchdog Timer. 2.8.7 Power-Down State In the power-down state the CPU stops operating to conserve power. There are three modes: sleep mode, software standby mode, and hardware standby mode. Sleep Mode: A transition to sleep mode is made if the SLEEP instruction is executed while the SSBY bit is cleared to 0 in the system control register (SYSCR). CPU operations stop immediately after execution of the SLEEP instruction, but the contents of CPU registers are retained. Software Standby Mode: A transition to software standby mode is made if the SLEEP instruction is executed while the SSBY bit is set to 1 in SYSCR. The CPU and clock halt and all on-chip supporting modules stop operating. The on-chip supporting modules are reset, but as long as a specified voltage is supplied the contents of CPU registers and on-chip RAM are retained. The I/O ports also remain in their existing states. Hardware Standby Mode: A transition to hardware standby mode is made when the STBY input goes low. As in software standby mode, the CPU and all clocks halt and the on-chip supporting modules are reset, but as long as a specified voltage is supplied, on-chip RAM contents are retained. For further information see section 20, Power-Down State.
50
2.9 Basic Operational Timing
2.9.1 Overview The H8/300H CPU operates according to the system clock (o). The interval from one rise of the system clock to the next rise is referred to as a "state." A memory cycle or bus cycle consists of two or three states. The CPU uses different methods to access on-chip memory, the on-chip supporting modules, and the external address space. Access to the external address space can be controlled by the bus controller. 2.9.2 On-Chip Memory Access Timing On-chip memory is accessed in two states. The data bus is 16 bits wide, permitting both byte and word access. Figure 2-15 shows the on-chip memory access cycle. Figure 2-16 indicates the pin states.
Bus cycle T1 state o Internal address bus Internal read signal Internal data bus (read access) Internal write signal Internal data bus (write access) Write data Read data Address T2 state
Figure 2-15 On-Chip Memory Access Cycle
51
T1 o Address bus AS , RD, HWR , LWR Address
T2
High High impedance
D15 to D0
Figure 2-16 Pin States during On-Chip Memory Access
52
2.9.3 On-Chip Supporting Module Access Timing The on-chip supporting modules are accessed in three states. The data bus is 8 or 16 bits wide, depending on the register being accessed. Figure 2-17 shows the on-chip supporting module access timing. Figure 2-18 indicates the pin states.
Bus cycle T1 state o Address T2 state T3 state
Address bus Internal read signal Internal data bus
Read access
Read data
Internal write signal Write access Internal data bus Write data
Figure 2-17 Access Cycle for On-Chip Supporting Modules
53
T1 o Address bus AS , RD, HWR , LWR
T2
T3
Address
High High impedance
D15 to D0
Figure 2-18 Pin States during Access to On-Chip Supporting Modules 2.9.4 Access to External Address Space The external address space is divided into eight areas (areas 0 to 7). Bus-controller settings determine whether each area is accessed via an 8-bit or 16-bit bus, and whether it is accessed in two or three states. For details see section 6, Bus Controller.
54
Section 3 MCU Operating Modes
3.1 Overview
3.1.1 Operating Mode Selection The H8/3048 Series has seven operating modes (modes 1 to 7) that are selected by the mode pins (MD2 to MD0) as indicated in table 3-1. The input at these pins determines the size of the address space and the initial bus mode. Table 3-1 Operating Mode Selection
Description Operating Mode -- Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Mode 7 Mode Pins MD2 MD1 MD0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 Address Space -- Expanded mode Expanded mode Expanded mode Expanded mode Expanded mode Expanded mode Single-chip advanced mode Initial Bus Mode*1 -- 8 bits 16 bits 8 bits 16 bits 8 bits 8 bits -- On-Chip ROM -- Disabled Disabled Disabled Disabled Enabled Enabled Enabled On-Chip RAM -- Enabled*2 Enabled*2 Enabled*2 Enabled*2 Enabled*2 Enabled*2 Enabled
Notes: 1. In modes 1 to 6, an 8-bit or 16-bit data bus can be selected on a per-area basis by settings made in the area bus width control register (ABWCR). For details see section 6, Bus Controller. 2. If the RAME bit in SYSCR is cleared to 0, these addresses become external addresses.
For the address space size there are two choices: 1 Mbyte or 16 Mbytes. The external data bus is either 8 or 16 bits wide depending on ABWCR settings. If 8-bit access is selected for all areas, the external data bus is 8 bits wide. For details see section 6, Bus Controller. Modes 1 to 4 are externally expanded modes that enable access to external memory and peripheral devices and disable access to the on-chip ROM. Modes 1 and 2 support a maximum address space of 1 Mbyte. Modes 3 and 4 support a maximum address space of 16 Mbytes.
55
Modes 5 and 6 are externally expanded modes that enable access to external memory and peripheral devices and also enable access to the on-chip ROM. Mode 5 supports a maximum address space of 1 Mbyte. Mode 6 supports a maximum address space of 16 Mbytes. Mode 7 is a single-chip mode that operates using the on-chip ROM, RAM, and registers, and makes all I/O ports available. Mode 7 supports a 1-Mbyte address space. The H8/3048 Series can be used only in modes 1 to 7. The inputs at the mode pins must select one of these seven modes. The inputs at the mode pins must not be changed during operation. 3.1.2 Register Configuration The H8/3048 Series has a mode control register (MDCR) that indicates the inputs at the mode pins (MD2 to MD0), and a system control register (SYSCR). Table 3-2 summarizes these registers. Table 3-2 Registers
Address* H'FFF1 H'FFF2 Name Mode control register System control register Abbreviation MDCR SYSCR R/W R R/W Initial Value Undetermined H'0B
Note: * The lower 16 bits of the address are indicated.
56
3.2 Mode Control Register (MDCR)
MDCR is an 8-bit read-only register that indicates the current operating mode of the H8/3048 Series.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 0 -- 4 -- 0 -- Reserved bits 3 -- 0 -- 2 MDS2 --* R 1 MDS1 --* R 0 MDS0 --* R
Reserved bits
Mode select 2 to 0 Bits indicating the current operating mode
Note: * Determined by pins MD 2 to MD0 .
Bits 7 and 6--Reserved: Read-only bits, always read as 1. Bits 5 to 3--Reserved: Read-only bits, always read as 0. Bits 2 to 0--Mode Select 2 to 0 (MDS2 to MDS0): These bits indicate the logic levels at pins MD2 to MD0 (the current operating mode). MDS2 to MDS0 correspond to MD2 to MD0. MDS2 to MDS0 are read-only bits. The mode pin (MD2 to MD0) levels are latched into these bits when MDCR is read.
57
3.3 System Control Register (SYSCR)
SYSCR is an 8-bit register that controls the operation of the H8/3048 Series.
Bit Initial value Read/Write 7 SSBY 0 R/W 6 STS2 0 R/W 5 STS1 0 R/W 4 STS0 0 R/W 3 UE 1 R/W 2 NMIEG 0 R/W 1 -- 1 -- 0 RAME 1 R/W RAM enable Enables or disables on-chip RAM Reserved bit NMI edge select Selects the valid edge of the NMI input User bit enable Selects whether to use the UI bit in CCR as a user bit or an interrupt mask bit Standby timer select 2 to 0 These bits select the waiting time at recovery from software standby mode Software standby Enables transition to software standby mode
Bit 7--Software Standby (SSBY): Enables transition to software standby mode. (For further information about software standby mode see section 20, Power-Down State.) When software standby mode is exited by an external interrupt, this bit remains set to 1. To clear this bit, write 0.
Bit 7 SSBY 0 1 Description SLEEP instruction causes transition to sleep mode SLEEP instruction causes transition to software standby mode (Initial value)
58
Bits 6 to 4--Standby Timer Select (STS2 to STS0): These bits select the length of time the CPU and on-chip supporting modules wait for the internal clock oscillator to settle when software standby mode is exited by an external interrupt. When using a crystal oscillator, set these bits so that the waiting time will be at least 7 ms at the system clock rate. For further information about waiting time selection, see section 20.4.3, Selection of Waiting Time for Exit from Software Standby Mode.
Bit 6 STS2 0 0 0 0 1 1 1 Bit 5 STS1 0 0 1 1 0 0 1 Bit 4 STS0 0 1 0 1 0 1 -- Description Waiting time = 8,192 states Waiting time = 16,384 states Waiting time = 32,768 states Waiting time = 65,536 states Waiting time = 131,072 states Waiting time = 1,024 states Illegal setting (Initial value)
Bit 3--User Bit Enable (UE): Selects whether to use the UI bit in the condition code register as a user bit or an interrupt mask bit.
Bit 3 UE 0 1 Description UI bit in CCR is used as an interrupt mask bit UI bit in CCR is used as a user bit (Initial value)
Bit 2--NMI Edge Select (NMIEG): Selects the valid edge of the NMI input.
Bit 2 NMIEG 0 1 Description An interrupt is requested at the falling edge of NMI An interrupt is requested at the rising edge of NMI (Initial value)
Bit 1--Reserved: Read-only bit, always read as 1. Bit 0--RAM Enable (RAME): Enables or disables the on-chip RAM. The RAME bit is initialized by the rising edge of the RES signal. It is not initialized in software standby mode.
Bit 0 RAME 0 1 Description On-chip RAM is disabled On-chip RAM is enabled 59 (Initial value)
3.4 Operating Mode Descriptions
3.4.1 Mode 1 Ports 1, 2, and 5 function as address pins A19 to A0, permitting access to a maximum 1-Mbyte address space. The initial bus mode after a reset is 8 bits, with 8-bit access to all areas. If at least one area is designated for 16-bit access in ABWCR, the bus mode switches to 16 bits. 3.4.2 Mode 2 Ports 1, 2, and 5 function as address pins A19 to A0, permitting access to a maximum 1-Mbyte address space. The initial bus mode after a reset is 16 bits, with 16-bit access to all areas. If all areas are designated for 8-bit access in ABWCR, the bus mode switches to 8 bits. 3.4.3 Mode 3 Ports 1, 2, and 5 and part of port A function as address pins A23 to A0, permitting access to a maximum 16-Mbyte address space. The initial bus mode after a reset is 8 bits, with 8-bit access to all areas. If at least one area is designated for 16-bit access in ABWCR, the bus mode switches to 16 bits. A23 to A21 are valid when 0 is written in bits 7 to 5 of the bus release control register (BRCR). (In this mode A20 is always used for address output.) 3.4.4 Mode 4 Ports 1, 2, and 5 and part of port A function as address pins A23 to A0, permitting access to a maximum 16-Mbyte address space. The initial bus mode after a reset is 16 bits, with 16-bit access to all areas. If all areas are designated for 8-bit access in ABWCR, the bus mode switches to 8 bits. A23 to A21 are valid when 0 is written in bits 7 to 5 of BRCR. (In this mode A20 is always used for address output.) 3.4.5 Mode 5 Ports 1, 2, and 5 can function as address pins A19 to A0, permitting access to a maximum 1-Mbyte address space, but following a reset they are input ports. To use ports 1, 2, and 5 as an address bus, the corresponding bits in their data direction registers (P1DDR, P2DDR, and P5DDR) must be set to 1. The initial bus mode after a reset is 8 bits, with 8-bit access to all areas. If at least one area is designated for 16-bit access in ABWCR, the bus mode switches to 16 bits. 3.4.6 Mode 6 Ports 1, 2, and 5 and part of port A function as address pins A23 to A0, permitting access to a maximum 16-Mbyte address space, but following a reset they are input ports. To use ports 1, 2, and 5 as an address bus, the corresponding bits in their data direction registers (P1DDR, P2DDR, and P5DDR) must be set to 1. For A23 to A21 output, clear bits 7 to 5 of BRCR to 0. (In this mode A20 is always used for address output.) The initial bus mode after a reset is 8 bits, with 8-bit access to all areas. If at least one area is designated for 16-bit access in ABWCR, the bus mode switches to 16 bits.
60
3.4.7 Mode 7 This mode operates using the on-chip ROM, RAM, and registers. All I/O ports are available. Mode 7 supports a 1-Mbyte address space.
3.5 Pin Functions in Each Operating Mode
The pin functions of ports 1 to 5 and port A vary depending on the operating mode. Table 3-3 indicates their functions in each operating mode. Table 3-3
Port Port 1 Port 2 Port 3 Port 4 Port 5 Port A
Pin Functions in Each Mode
Mode 2 A7 to A0 A15 to A8 D15 to D8
*1
Mode 1 A7 to A0 A15 to A8 D15 to D8 P47 to P40 A19 to A16 PA7 to PA4
Mode 3 A7 to A0 A15 to A8 D15 to D8 P47 to P40 A19 to A16 PA7 to PA5
*3, *1
Mode 4 A7 to A0 A15 to A8 D15 to D8 D7 to D0*1
Mode 5 P17 to P10 P27 to P20 D15 to D8 P47 to P40 P53 to P50
*3, *1 *2 *2 *2
Mode 6 P17 to P10 P27 to P20 D15 to D8 P47 to P40 P53 to P50 PA7 to
*1 *2 *2 *2
Mode 7 P17 to P10 P27 to P20 P37 to P30 P47 to P40 P53 to P50 PA7 to PA4
D7 to
D0*1
A19 to A16 PA7 to PA4
A19 to A16 A20 PA7 to PA5 A20
PA7 to PA4
PA5, A20*3
Notes: 1. Initial state. The bus mode can be switched by settings in ABWCR. These pins function as P47 to P40 in 8-bit bus mode, and as D7 to D0 in 16-bit bus mode. 2. Initial state. These pins become address output pins when the corresponding bits in the data direction registers (P1DDR, P2DDR, P5DDR) are set to 1. 3. Initial state. A20 is always an address output pin. PA7 to PA5 are switched over to A23 to A21 output by writing 0 in bits 7 to 5 of BRCR.
3.6 Memory Map in Each Operating Mode
Figure 3-1 shows a memory map of the H8/3048. Figure 3-2 shows a memory map of the H8/3047. Figure 3-3 shows a memory map of the H8/3044. Figure 3-4 shows a memory map of the H8/3045. The address space is divided into eight areas. The initial bus mode differs between modes 1 and 2, and also between modes 3 and 4. The address locations of the on-chip RAM and on-chip registers differ between the 1-Mbyte modes (modes 1, 2, 5, and 7) and 16-Mbyte modes (modes 3, 4, and 6). The address range specifiable by the CPU in the 8- and 16-bit absolute addressing modes (@aa:8 and @aa:16) also differs.
61
Modes 1 and 2 (1-Mbyte expanded modes with on-chip ROM disabled) Memory-indirect branch addresses H'00000 Vector area
Modes 3 and 4 (16-Mbyte expanded modes with on-chip ROM disabled) Vector area Memory-indirect branch addresses Area 0 H'1FFFFF H'200000 Area 1 H'3FFFFF H'400000 Area 2 H'5FFFFF H'600000 H'7FFFFF H'800000 H'9FFFFF H'A00000 16-bit absolute addresses Area 5 H'BFFFFF H'C00000 Area 6 H'DFFFFF H'E00000 Area 7 External address space Area 3 Area 4 8-bit absolute addresses H'000000 16-bit absolute addresses
H'000FF
H'0000FF
H'07FFF
H'007FFF
H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7
H'F8000 H'FEF0F H'FEF10 H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF 8-bit absolute addresses On-chip RAM *
External address space On-chip registers
H'FF8000 16-bit absolute addresses H'FFEF0F H'FFEF10 On-chip RAM * H'FFFF00 H'FFFF0F H'FFFF10 H'FFFF1B H'FFFF1C H'FFFFFF
External address space On-chip registers
Note: * External addresses can be accessed by disabling on-chip RAM.
Figure 3-1 H8/3048 Memory Map in Each Operating Mode
62
16-bit absolute addresses
Mode 5 (1-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'00000 Vector area
Mode 6 (16-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'000000 16-bit absolute addresses Vector area
Mode 7 (single-chip advanced mode) H'00000 16-bit absolute addresses
H'000FF On-chip ROM H'07FFF
H'0000FF On-chip ROM H'007FFF
H'000FF On-chip ROM H'07FFF H'1FFFF
H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7
H'01FFFF H'020000 H'1FFFFF H'200000 H'3FFFFF H'400000 H'5FFFFF H'600000 H'7FFFFF H'800000 H'9FFFFF H'A00000 16-bit absolute addresses H'BFFFFF H'C00000 H'DFFFFF H'E00000
Area 0
Area 1
Area 2 External address space
Area 3
Area 4
H'F8000 H'FEF0F H'FEF10 8-bit absolute addresses On-chip RAM * H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF
H'F8000 H'FEF10 H'FFF00 H'FFF0F 8-bit absolute addresses On-chip RAM 16-bit absolute addresses
Area 5
Area 6
External address space On-chip registers
Area 7 H'FF8000
H'FFF1C H'FFFFF
On-chip registers
H'FFFF00 H'FFFF0F H'FFFF10 H'FFFF1B H'FFFF1C H'FFFFFF
External address space On-chip registers
Note: * External addresses can be accessed by disabling on-chip RAM.
Figure 3-1 H8/3048 Memory Map in Each Operating Mode (cont)
63
8-bit absolute addresses
On-chip RAM *
16-bit absolute addresses
H'FFEF0F H'FFEF10
16-bit absolute addresses
Vector area
Memory-indirect branch addresses
Modes 1 and 2 (1-Mbyte expanded modes with on-chip ROM disabled) Memory-indirect branch addresses H'00000 Vector area
Modes 3 and 4 (16-Mbyte expanded modes with on-chip ROM disabled) Vector area Memory-indirect branch addresses Area 0 H'1FFFFF H'200000 Area 1 H'3FFFFF H'400000 Area 2 H'5FFFFF H'600000 H'7FFFFF H'800000 H'9FFFFF H'A00000 16-bit absolute addresses Area 5 H'BFFFFF H'C00000 Area 6 H'DFFFFF H'E00000 Area 7 External address space Area 3 Area 4 8-bit absolute addresses H'000000 16-bit absolute addresses
H'000FF
H'0000FF
H'07FFF
H'007FFF
H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7
H'F8000 H'FEF0F H'FEF10 H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF 8-bit absolute addresses On-chip RAM *
External address space On-chip registers
H'FF8000 16-bit absolute addresses H'FFEF0F H'FFEF10 On-chip RAM * H'FFFF00 H'FFFF0F H'FFFF10 H'FFFF1B H'FFFF1C H'FFFFFF
External address space On-chip registers
Note: * External addresses can be accessed by disabling on-chip RAM.
Figure 3-2 H8/3047 Memory Map in Each Operating Mode
64
16-bit absolute addresses
Mode 5 (1-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'00000 Vector area
Mode 6 (16-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'000000 16-bit absolute addresses Vector area
Mode 7 (single-chip advanced mode) H'00000 16-bit absolute addresses
H'000FF On-chip ROM H'07FFF H'17FFF H'18000 Reserved *1 H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
H'0000FF On-chip ROM H'007FFF H'017FFF H'018000 H'01FFFF H'020000 H'1FFFFF H'200000 H'3FFFFF H'400000 H'5FFFFF H'600000 H'7FFFFF H'800000 H'9FFFFF H'A00000 H'BFFFFF H'C00000 H'DFFFFF H'E00000
H'000FF On-chip ROM H'07FFF H'17FFF
Area 0 Area 1 Area 2
Reserved*1 Area 0
Area 1
Area 3 Area 4
Area 2 External address space
Area 5 Area 6 Area 7
Area 3
H'F8000 16-bit absolute addresses H'FEF0F H'FEF10 8-bit absolute addresses On-chip RAM*2 H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF
Area 4
H'F8000 H'FEF10 H'FFF00 H'FFF0F 8-bit absolute addresses On-chip RAM 16-bit absolute addresses
Area 5
External address space On-chip registers
Area 6
Area 7 H'FF8000
H'FFF1C H'FFFFF
On-chip registers
H'FFFF00 H'FFFF0F H'FFFF10 H'FFFF1B H'FFFF1C H'FFFFFF
External address space On-chip registers
Notes: 1. Do not access the reserved area. 2. External addresses can be accessed by disabling on-chip RAM.
Figure 3-2 H8/3047 Memory Map in Each Operating Mode (cont)
65
8-bit absolute addresses
On-chip RAM*2
16-bit absolute addresses
H'FFEF0F H'FFEF10
16-bit absolute addresses
Vector area
Memory-indirect branch addresses
Modes 1 and 2 (1-Mbyte expanded modes with on-chip ROM disabled) Memory-indirect branch addresses H'00000 Vector area
Modes 3 and 4 (16-Mbyte expanded modes with on-chip ROM disabled) Vector area Memory-indirect branch addresses Area 0 H'1FFFFF H'200000 Area 1 H'3FFFFF H'400000 Area 2 H'5FFFFF H'600000 H'7FFFFF H'800000 H'9FFFFF H'A00000 16-bit absolute addresses External address space Area 3 Area 4 Area 5 H'BFFFFF H'C00000 Area 6 H'DFFFFF H'E00000 Area 7 8-bit absolute addresses H'000000 16-bit absolute addresses
H'000FF
H'0000FF
H'07FFF
H'007FFF
H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7
H'F8000 H'FEF10 H'FF70F H'FF710 H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF
Reserved*1 8-bit absolute addresses On-chip RAM*2
External address space On-chip registers
On-chip RAM*2
H'FFFF00 H'FFFF0F H'FFFF10 H'FFFF1B H'FFFF1C H'FFFFFF
External address space On-chip registers
Notes: 1. Do not access the reserved area. 2. External addresses can be accessed by disabling on-chip RAM.
Figure 3-3 H8/3044 Memory Map in Each Operating Mode
66
16-bit absolute addresses
H'FF8000 H'FFEF10 H'FFF70F H'FFF710
Reserved*1
16-bit absolute addresses
Mode 5 (1-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'00000 Vector area
Mode 6 (16-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'000000 16-bit absolute addresses Vector area
Mode 7 (single-chip advanced mode) H'00000 16-bit absolute addresses
H'000FF On-chip ROM H'07FFF H'08000 Reserved
*1
H'0000FF On-chip ROM H'007FFF H'008000 Reserved*1 H'01FFFF H'1FFFFF H'200000 H'3FFFFF H'400000
H'000FF On-chip ROM H'07FFF
Area 0
H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7
Area 1
Area 2 H'5FFFFF H'600000 H'7FFFFF H'800000 H'9FFFFF H'A00000 External address space
Area 3
Area 4 H'F8000 H'FF710 Area 6 H'DFFFFF H'E00000 Area 7 H'FFF1C H'FF8000 16-bit absolute addresses H'FFEF10 H'FFF70F H'FFF710 H'FFFF00 H'FFFF0F H'FFFF10 H'FFFF1B H'FFFF1C H'FFFFFF H'FFFFF Reserved*1 8-bit absolute addresses On-chip RAM*2 On-chip registers H'FFF00 H'FFF0F 8-bit absolute addresses On-chip RAM 16-bit absolute addresses Area 5 16-bit absolute addresses
H'F8000 H'FEF10 H'FF70F H'FF710 H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF
Reserved
*1 *2
H'BFFFFF H'C00000
External address space On-chip registers
8-bit absolute addresses
On-chip RAM
External address space On-chip registers
Notes: 1. Do not access the reserved area. 2. External addresses can be accessed by disabling on-chip RAM.
Figure 3-3 H8/3044 Memory Map in Each Operating Mode (cont)
67
16-bit absolute addresses
Vector area
Memory-indirect branch addresses
Modes 1 and 2 (1-Mbyte expanded modes with on-chip ROM disabled) Memory-indirect branch addresses H'00000 Vector area
Modes 3 and 4 (16-Mbyte expanded modes with on-chip ROM disabled) Vector area Memory-indirect branch addresses Area 0 H'1FFFFF H'200000 Area 1 H'3FFFFF H'400000 Area 2 H'5FFFFF H'600000 H'7FFFFF H'800000 H'9FFFFF H'A00000 16-bit absolute addresses External address space Area 3 Area 4 Area 5 H'BFFFFF H'C00000 Area 6 H'DFFFFF H'E00000 Area 7 8-bit absolute addresses H'000000 16-bit absolute addresses
H'000FF
H'0000FF
H'07FFF
H'007FFF
H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7
H'F8000 H'FEF10 H'FF70F H'FF710 H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF
Reserved*1 8-bit absolute addresses On-chip RAM*2
External address space On-chip registers
On-chip RAM*2
H'FFFF00 H'FFFF0F H'FFFF10 H'FFFF1B H'FFFF1C H'FFFFFF
External address space On-chip registers
Notes: 1. Do not access the reserved area. 2. External addresses can be accessed by disabling on-chip RAM.
Figure 3-4 H8/3045 Memory Map in Each Operating Mode
68
16-bit absolute addresses
H'FF8000 H'FFEF10 H'FFF70F H'FFF710
Reserved*1
16-bit absolute addresses
Mode 5 (1-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'00000 Vector area
Mode 6 (16-Mbyte expanded mode with on-chip ROM enabled) Memory-indirect branch addresses H'000000 16-bit absolute addresses Vector area
Mode 7 (single-chip advanced mode) H'00000 16-bit absolute addresses
H'000FF On-chip ROM H'07FFF H'0FFFF H'10000 Reserved*1 H'1FFFF H'20000 H'3FFFF H'40000 H'5FFFF H'60000 External address space H'7FFFF H'80000 H'9FFFF H'A0000 H'BFFFF H'C0000 H'DFFFF H'E0000
H'0000FF On-chip ROM H'007FFF H'00FFFF H'010000 H'01FFFF H'020000 Reserved*1
H'000FF On-chip ROM H'07FFF H'0FFFF
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7 H'7FFFFF H'800000 H'9FFFFF H'A00000 16-bit absolute addresses H'5FFFFF H'600000 External address space H'3FFFFF H'400000 H'1FFFFF H'200000
Area 0
Area 1
Area 2
Area 3
Area 4 H'F8000 H'FEF10 H'FF70F H'FF710 H'FFF00 H'FFF0F H'FFF10 H'FFF1B H'FFF1C H'FFFFF
H'F8000 H'FF710 H'FFF00 H'FFF0F 8-bit absolute addresses On-chip RAM 16-bit absolute addresses
Reserved*1 8-bit absolute addresses On-chip RAM*2
Area 5 H'BFFFFF H'C00000 Area 6 H'DFFFFF H'E00000 Area 7
External address space On-chip registers
H'FFF1C H'FFFFF
On-chip registers
H'FF8000 16-bit absolute addresses H'FFEF10 H'FFF70F H'FFF710 Reserved*1 8-bit absolute addresses On-chip RAM*2
H'FFFF1B H'FFFF1C H'FFFFFF
External address space On-chip registers
Notes: 1. Do not access the reserved area. 2. External addresses can be accessed by disabling on-chip RAM.
Figure 3-4 H8/3045 Memory Map in Each Operating Mode (cont)
69
16-bit absolute addresses
Vector area
Memory-indirect branch addresses
Section 4 Exception Handling
4.1 Overview
4.1.1 Exception Handling Types and Priority As table 4-1 indicates, exception handling may be caused by a reset, trap instruction, or interrupt. Exception handling is prioritized as shown in table 4-1. If two or more exceptions occur simultaneously, they are accepted and processed in priority order. Trap instruction exceptions are accepted at all times in the program execution state. Table 4-1 Exception Types and Priority
Priority Exception Type High Reset Interrupt Low Start of Exception Handling Starts immediately after a low-to-high transition at the RES pin Interrupt requests are handled when execution of the current instruction or handling of the current exception is completed
Trap instruction (TRAPA) Started by execution of a trap instruction (TRAPA)
4.1.2 Exception Handling Operation Exceptions originate from various sources. Trap instructions and interrupts are handled as follows. 1. 2. 3. The program counter (PC) and condition code register (CCR) are pushed onto the stack. The CCR interrupt mask bit is set to 1. A vector address corresponding to the exception source is generated, and program execution starts from the address indicated in that address.
For a reset exception, steps 2 and 3 above are carried out.
71
4.1.3 Exception Vector Table The exception sources are classified as shown in figure 4-1. Different vectors are assigned to different exception sources. Table 4-2 lists the exception sources and their vector addresses.
* Reset External interrupts: NMI, IRQ 0 to IRQ5 Exception sources * Interrupts Internal interrupts: 30 interrupts from on-chip supporting modules
* Trap instruction
Figure 4-1 Exception Sources Table 4-2 Exception Vector Table
Exception Source Reset Reserved for system use Vector Number 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 to 60 Vector Address*1 H'0000 to H'0003 H'0004 to H'0007 H'0008 to H'000B H'000C to H'000F H'0010 to H'0013 H'0014 to H'0017 H'0018 to H'001B H'001C to H'001F H'0020 to H'0023 H'0024 to H'0027 H'0028 to H'002B H'002C to H'002F H'0030 to H'0033 H'0034 to H'0037 H'0038 to H'003B H'003C to H'003F H'0040 to H'0043 H'0044 to H'0047 H'0048 to H'004B H'004C to H'004F H'0050 to H'0053 to H'00F0 to H'00F3
External interrupt (NMI) Trap instruction (4 sources)
External interrupt IRQ0 External interrupt IRQ1 External interrupt IRQ2 External interrupt IRQ3 External interrupt IRQ4 External interrupt IRQ5 Reserved for system use Internal interrupts*2
Notes: 1. Lower 16 bits of the address. 2. For the internal interrupt vectors, see section 5.3.3, Interrupt Vector Table.
72
4.2 Reset
4.2.1 Overview A reset is the highest-priority exception. When the RES pin goes low, all processing halts and the chip enters the reset state. A reset initializes the internal state of the CPU and the registers of the on-chip supporting modules. Reset exception handling begins when the RES pin changes from low to high. The chip can also be reset by overflow of the watchdog timer. For details see section 12, Watchdog Timer. 4.2.2 Reset Sequence The chip enters the reset state when the RES pin goes low. To ensure that the chip is reset, hold the RES pin low for at least 20 ms at power-up. To reset the chip during operation, hold the RES pin low for at least 10 system clock (o) cycles. See appendix D.2, Pin States at Reset, for the states of the pins in the reset state. When the RES pin goes high after being held low for the necessary time, the chip starts reset exception handling as follows. * The internal state of the CPU and the registers of the on-chip supporting modules are initialized, and the I bit is set to 1 in CCR. The contents of the reset vector address (H'0000 to H'0003) are read, and program execution starts from the address indicated in the vector address.
*
Figure 4-2 shows the reset sequence in modes 1 and 3. Figure 4-3 shows the reset sequence in modes 2 and 4. Figure 4-4 shows the reset sequence in mode 6.
73
Vector fetch
Internal processing
Prefetch of first program instruction
o
RES
Address bus (1) (3) (5)
(7)
(9)
RD
Figure 4-2 Reset Sequence (Modes 1 and 3)
74
High (2) (4) (6)
HWR , LWR
D15 to D8
(8)
(10)
(1), (3), (5), (7) (2), (4), (6), (8) (9) (10)
Address of reset vector: (1) = H'00000, (3) = H'00001, (5) = H'00002, (7) = H'00003 Start address (contents of reset vector) Start address First instruction of program
Note: After a reset, the wait-state controller inserts three wait states in every bus cycle.
Vector fetch
Internal processing
Prefetch of first program instruction
o
RES
Address bus
(1)
(3)
(5)
RD
HWR , LWR D15 to D0
High (2) (4) (6)
(1), (3) (2), (4) (5) (6)
Address of reset vector: (1) = H'000000, (3) = H'000002 Start address (contents of reset vector) Start address First instruction of program
Note: After a reset, the wait-state controller inserts three wait states in every bus cycle.
Figure 4-3 Reset Sequence (Modes 2 and 4)
75
Vector fetch o
Internal processing
Prefetch of first program instruction
RES Internal address bus Internal read signal Internal write signal Internal data bus (16 bits wide) (2) (4) (6)
(1)
(3)
(5)
(1), (3) (2), (4) (5) (6)
Address of reset vector ((1) = H'000000, (2) = H'000002) Start address (contents of reset vector) Start address First instruction of program
Figure 4-4 Reset Sequence (Mode 5, 6 and 7) 4.2.3 Interrupts after Reset If an interrupt is accepted after a reset but before the stack pointer (SP) is initialized, PC and CCR will not be saved correctly, leading to a program crash. To prevent this, all interrupt requests, including NMI, are disabled immediately after a reset. The first instruction of the program is always executed immediately after the reset state ends. This instruction should initialize the stack pointer (example: MOV.L #xx:32, SP).
76
4.3 Interrupts
Interrupt exception handling can be requested by seven external sources (NMI, IRQ0 to IRQ5) and 30 internal sources in the on-chip supporting modules. Figure 4-5 classifies the interrupt sources and indicates the number of interrupts of each type. The on-chip supporting modules that can request interrupts are the watchdog timer (WDT), refresh controller, 16-bit integrated timer unit (ITU), DMA controller (DMAC), serial communication interface (SCI), and A/D converter. Each interrupt source has a separate vector address. NMI is the highest-priority interrupt and is always accepted. Interrupts are controlled by the interrupt controller. The interrupt controller can assign interrupts other than NMI to two priority levels, and arbitrate between simultaneous interrupts. Interrupt priorities are assigned in interrupt priority registers A and B (IPRA and IPRB) in the interrupt controller. For details on interrupts see section 5, Interrupt Controller.
External interrupts Interrupts
NMI (1) IRQ 0 to IRQ 5 (6) WDT *1 (1) Refresh controller *2 (1) ITU (15) DMAC (4) SCI (8) A/D converter (1)
Internal interrupts
Notes: Numbers in parentheses are the number of interrupt sources. 1. When the watchdog timer is used as an interval timer, it generates an interrupt request at every counter overflow. 2. When the refresh controller is used as an interval timer, it generates an interrupt request at compare match.
Figure 4-5 Interrupt Sources and Number of Interrupts
77
4.4 Trap Instruction
Trap instruction exception handling starts when a TRAPA instruction is executed. If the UE bit is set to 1 in the system control register (SYSCR), the exception handling sequence sets the I bit to 1 in CCR. If the UE bit is 0, the I and UI bits are both set to 1. The TRAPA instruction fetches a start address from a vector table entry corresponding to a vector number from 0 to 3, which is specified in the instruction code.
78
4.5 Stack Status after Exception Handling
Figure 4-6 shows the stack after completion of trap instruction exception handling and interrupt exception handling.
SP-4 SP-3 SP-2 SP-1 SP (ER7)
Stack area
SP (ER7) SP+1 SP+2 SP+3 SP+4
CCR PC E PC H PC L Even address
Before exception handling Pushed on stack
After exception handling
Legend PCE: Bits 23 to 16 of program counter (PC) PCH: Bits 15 to 8 of program counter (PC) PCL: Bits 7 to 0 of program counter (PC) CCR: Condition code register SP: Stack pointer Notes: 1. PC indicates the address of the first instruction that will be executed after return. 2. Registers must be saved in word or longword size at even addresses.
Figure 4-6 Stack after Completion of Exception Handling
79
4.6 Notes on Stack Usage
When accessing word data or longword data, the H8/3048 Series regards the lowest address bit as 0. The stack should always be accessed by word access or longword access, and the value of the stack pointer (SP, ER7) should always be kept even. Use the following instructions to save registers: PUSH.W Rn (or MOV.W Rn, @-SP) PUSH.L ERn (or MOV.L ERn, @-SP) Use the following instructions to restore registers: POP.W Rn POP.L ERn (or MOV.W @SP+, Rn) (or MOV.L @SP+, ERn)
Setting SP to an odd value may lead to a malfunction. Figure 4-7 shows an example of what happens when the SP value is odd.
CCR SP PC
SP
R1L
H'FFFEFA H'FFFEFB
PC
H'FFFEFC H'FFFEFD
H'FFFEFF SP
TRAPA instruction executed
MOV. B R1L, @-ER7
SP set to H'FFFEFF Legend CCR: Condition code register PC: Program counter R1L: General register R1L SP: Stack pointer
Data saved above SP
CCR contents lost
Note: The diagram illustrates modes 3 and 4.
Figure 4-7 Operation when SP Value is Odd
80
Section 5 Interrupt Controller
5.1 Overview
5.1.1 Features The interrupt controller has the following features: * Interrupt priority registers (IPRs) for setting interrupt priorities Interrupts other than NMI can be assigned to two priority levels on a module-by-module basis in interrupt priority registers A and B (IPRA and IPRB). * * Three-level masking by the I and UI bits in the CPU condition code register (CCR) Independent vector addresses All interrupts are independently vectored; the interrupt service routine does not have to identify the interrupt source. * Seven external interrupt pins NMI has the highest priority and is always accepted; either the rising or falling edge can be selected. For each of IRQ0 to IRQ5, sensing of the falling edge or level sensing can be selected independently.
81
5.1.2 Block Diagram Figure 5-1 shows a block diagram of the interrupt controller.
CPU ISCR NMI input IRQ input OVF TME . . . . . . . ADI ADIE IRQ input section ISR Priority decision logic IER IPRA, IPRB
Interrupt request Vector number
. . .
I Interrupt controller UE SYSCR Legend ISCR: IER: ISR: IPRA: IPRB: SYSCR: IRQ sense control register IRQ enable register IRQ status register Interrupt priority register A Interrupt priority register B System control register UI
CCR
Figure 5-1 Interrupt Controller Block Diagram
82
5.1.3 Pin Configuration Table 5-1 lists the interrupt pins. Table 5-1 Interrupt Pins
Name Nonmaskable interrupt Abbreviation NMI I/O Input Input Function Nonmaskable interrupt, rising edge or falling edge selectable Maskable interrupts, falling edge or level sensing selectable
External interrupt request 5 to 0 IRQ5 to IRQ0
5.1.4 Register Configuration Table 5-2 lists the registers of the interrupt controller. Table 5-2 Interrupt Controller Registers
Address*1 H'FFF2 H'FFF4 H'FFF5 H'FFF6 H'FFF8 H'FFF9 Name System control register IRQ sense control register IRQ enable register IRQ status register Interrupt priority register A Interrupt priority register B Abbreviation SYSCR ISCR IER ISR IPRA IPRB R/W R/W R/W R/W R/(W)*2 R/W R/W Initial Value H'0B H'00 H'00 H'00 H'00 H'00
Notes: 1. Lower 16 bits of the address. 2. Only 0 can be written, to clear flags.
83
5.2 Register Descriptions
5.2.1 System Control Register (SYSCR) SYSCR is an 8-bit readable/writable register that controls software standby mode, selects the action of the UI bit in CCR, selects the NMI edge, and enables or disables the on-chip RAM. Only bits 3 and 2 are described here. For the other bits, see section 3.3, System Control Register (SYSCR). SYSCR is initialized to H'0B by a reset and in hardware standby mode. It is not initialized in software standby mode.
Bit Initial value Read/Write 7 SSBY 0 R/W 6 STS2 0 R/W 5 STS1 0 R/W 4 STS0 0 R/W 3 UE 1 R/W 2 NMIEG 0 R/W 1 -- 1 -- 0 RAME 1 R/W
RAM enable Reserved bit Standby timer select 2 to 0 Software standby NMI edge select Selects the NMI input edge User bit enable Selects whether to use the UI bit in CCR as a user bit or interrupt mask bit
84
Bit 3--User Bit Enable (UE): Selects whether to use the UI bit in CCR as a user bit or an interrupt mask bit.
Bit 3 UE 0 1 Description UI bit in CCR is used as interrupt mask bit UI bit in CCR is used as user bit (Initial value)
Bit 2--NMI Edge Select (NMIEG): Selects the NMI input edge.
Bit 2 NMIEG 0 1 Description Interrupt is requested at falling edge of NMI input Interrupt is requested at rising edge of NMI input (Initial value)
5.2.2 Interrupt Priority Registers A and B (IPRA, IPRB) IPRA and IPRB are 8-bit readable/writable registers that control interrupt priority.
85
Interrupt Priority Register A (IPRA): IPRA is an 8-bit readable/writable register in which interrupt priority levels can be set.
Bit Initial value Read/Write 7 IPRA7 0 R/W 6 IPRA6 0 R/W 5 IPRA5 0 R/W 4 IPRA4 0 R/W 3 IPRA3 0 R/W 2 IPRA2 0 R/W 1 IPRA1 0 R/W 0 IPRA0 0 R/W
Priority level A0 Selects the priority level of ITU channel 2 interrupt requests Priority level A1 Selects the priority level of ITU channel 1 interrupt requests Priority level A2 Selects the priority level of ITU channel 0 interrupt requests Priority level A3 Selects the priority level of WDT and refresh controller interrupt requests Priority level A4 Selects the priority level of IRQ4 and IRQ 5 interrupt requests Priority level A5 Selects the priority level of IRQ 2 and IRQ 3 interrupt requests Priority level A6 Selects the priority level of IRQ1 interrupt requests Priority level A7 Selects the priority level of IRQ 0 interrupt requests
IPRA is initialized to H'00 by a reset and in hardware standby mode.
86
Bit 7--Priority Level A7 (IPRA7): Selects the priority level of IRQ0 interrupt requests.
Bit 7 IPRA7 0 1 Description IRQ0 interrupt requests have priority level 0 (low priority) IRQ0 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 6--Priority Level A6 (IPRA6): Selects the priority level of IRQ1 interrupt requests.
Bit 6 IPRA6 0 1 Description IRQ1 interrupt requests have priority level 0 (low priority) IRQ1 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 5--Priority Level A5 (IPRA5): Selects the priority level of IRQ2 and IRQ3 interrupt requests.
Bit 5 IPRA5 0 1 Description IRQ2 and IRQ3 interrupt requests have priority level 0 (low priority) IRQ2 and IRQ3 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 4--Priority Level A4 (IPRA4): Selects the priority level of IRQ4 and IRQ5 interrupt requests.
Bit 4 IPRA4 0 1 Description IRQ4 and IRQ5 interrupt requests have priority level 0 (low priority) IRQ4 and IRQ5 interrupt requests have priority level 1 (high priority) (Initial value)
87
Bit 3--Priority Level A3 (IPRA3): Selects the priority level of WDT and refresh controller interrupt requests.
Bit 3 IPRA3 0 1 Description WDT and refresh controller interrupt requests have priority level 0 (low priority) (Initial value)
WDT and refresh controller interrupt requests have priority level 1 (high priority)
Bit 2--Priority Level A2 (IPRA2): Selects the priority level of ITU channel 0 interrupt requests.
Bit 2 IPRA2 0 1 Description ITU channel 0 interrupt requests have priority level 0 (low priority) ITU channel 0 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 1--Priority Level A1 (IPRA1): Selects the priority level of ITU channel 1 interrupt requests.
Bit 1 IPRA1 0 1 Description ITU channel 1 interrupt requests have priority level 0 (low priority) ITU channel 1 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 0--Priority Level A0 (IPRA0): Selects the priority level of ITU channel 2 interrupt requests.
Bit 0 IPRA0 0 1 Description ITU channel 2 interrupt requests have priority level 0 (low priority) ITU channel 2 interrupt requests have priority level 1 (high priority) (Initial value)
88
Interrupt Priority Register B (IPRB): IPRB is an 8-bit readable/writable register in which interrupt priority levels can be set.
Bit Initial value Read/Write
7 IPRB7 0 R/W
6 IPRB6 0 R/W
5 IPRB5 0 R/W
4 -- 0 R/W
3 IPRB3 0 R/W
2 IPRB2 0 R/W
1 IPRB1 0 R/W
0 -- 0 R/W
Reserved bit Priority level B1 Selects the priority level of A/D converter interrupt request Priority level B2 Selects the priority level of SCI channel 1 interrupt requests Priority level B3 Selects the priority level of SCI channel 0 interrupt requests Reserved bit
Priority level B5 Selects the priority level of DMAC interrupt requests (channels 0 and 1) Priority level B6 Selects the priority level of ITU channel 4 interrupt requests Priority level B7 Selects the priority level of ITU channel 3 interrupt requests
IPRB is initialized to H'00 by a reset and in hardware standby mode.
89
Bit 7--Priority Level B7 (IPRB7): Selects the priority level of ITU channel 3 interrupt requests.
Bit 7 IPRB7 0 1 Description ITU channel 3 interrupt requests have priority level 0 (low priority) ITU channel 3 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 6--Priority Level B6 (IPRB6): Selects the priority level of ITU channel 4 interrupt requests.
Bit 6 IPRB6 0 1 Description ITU channel 4 interrupt requests have priority level 0 (low priority) ITU channel 4 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 5--Priority Level B5 (IPRB5): Selects the priority level of DMAC interrupt requests (channels 0 and 1).
Bit 5 IPRB5 0 1 Description DMAC interrupt requests (channels 0 and 1) have priority level 0 (low priority) (Initial value)
DMAC interrupt requests (channels 0 and 1) have priority level 1 (high priority)
Bit 4--Reserved: This bit can be written and read, but it does not affect interrupt priority.
90
Bit 3--Priority Level B3 (IPRB3): Selects the priority level of SCI channel 0 interrupt requests.
Bit 3 IPRB3 0 1 Description SCI0 interrupt requests have priority level 0 (low priority) SCI0 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 2--Priority Level B2 (IPRB2): Selects the priority level of SCI channel 1 interrupt requests.
Bit 2 IPRB2 0 1 Description SCI1 interrupt requests have priority level 0 (low priority) SCI1 interrupt requests have priority level 1 (high priority) (Initial value)
Bit 1--Priority Level B1 (IPRB1): Selects the priority level of A/D converter interrupt requests.
Bit 1 IPRB1 0 1 Description A/D converter interrupt requests have priority level 0 (low priority) A/D converter interrupt requests have priority level 1 (high priority) (Initial value)
Bit 0--Reserved: This bit can be written and read, but it does not affect interrupt priority.
91
5.2.3 IRQ Status Register (ISR) ISR is an 8-bit readable/writable register that indicates the status of IRQ0 to IRQ5 interrupt requests.
Bit Initial value Read/Write 7 -- 0 -- 6 -- 0 -- 5 IRQ5F 0 R/(W)* 4 IRQ4F 0 R/(W)* 3 IRQ3F 0 R/(W)* 2 IRQ2F 0 R/(W)* 1 IRQ1F 0 R/(W)* 0 IRQ0F 0 R/(W)*
Reserved bits
IRQ 5 to IRQ0 flags These bits indicate IRQ 5 to IRQ 0 interrupt request status
Note: * Only 0 can be written, to clear flags.
ISR is initialized to H'00 by a reset and in hardware standby mode. Bits 7 and 6--Reserved: Read-only bits, always read as 0. Bits 5 to 0--IRQ5 to IRQ0 Flags (IRQ5F to IRQ0F): These bits indicate the status of IRQ5 to IRQ0 interrupt requests.
Bits 5 to 0 IRQ5F to IRQ0F 0 Description [Clearing conditions] (Initial value) 0 is written in IRQnF after reading the IRQnF flag when IRQnF = 1. IRQnSC = 0, IRQn input is high, and interrupt exception handling is carried out. IRQnSC = 1 and IRQn interrupt exception handling is carried out. [Setting conditions] IRQnSC = 0 and IRQn input is low. IRQnSC = 1 and IRQn input changes from high to low.
1
Note: n = 5 to 0
92
5.2.4 IRQ Enable Register (IER) IER is an 8-bit readable/writable register that enables or disables IRQ0 to IRQ5 interrupt requests.
Bit Initial value Read/Write 7 -- 0 R/W 6 -- 0 R/W 5 IRQ5E 0 R/W 4 IRQ4E 0 R/W 3 IRQ3E 0 R/W 2 IRQ2E 0 R/W 1 IRQ1E 0 R/W 0 IRQ0E 0 R/W
Reserved bits
IRQ 5 to IRQ0 enable These bits enable or disable IRQ 5 to IRQ 0 interrupts
IER is initialized to H'00 by a reset and in hardware standby mode. Bits 7 and 6--Reserved: These bits can be written and read, but they do not enable or disable interrupts. Bits 5 to 0--IRQ5 to IRQ0 Enable (IRQ5E to IRQ0E): These bits enable or disable IRQ5 to IRQ0 interrupts.
Bits 5 to 0 IRQ5E to IRQ0E 0 1 Description IRQ5 to IRQ0 interrupts are disabled IRQ5 to IRQ0 interrupts are enabled (Initial value)
93
5.2.5 IRQ Sense Control Register (ISCR) ISCR is an 8-bit readable/writable register that selects level sensing or falling-edge sensing of the inputs at pins IRQ5 to IRQ0.
Bit Initial value Read/Write 7 -- 0 R/W 6 -- 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
IRQ5SC IRQ4SC IRQ3SC IRQ2SC IRQ1SC IRQ0SC
Reserved bits
IRQ 5 to IRQ0 sense control These bits select level sensing or falling-edge sensing for IRQ 5 to IRQ 0 interrupts
ISCR is initialized to H'00 by a reset and in hardware standby mode. Bits 7 and 6--Reserved: These bits can be written and read, but they do not select level or falling-edge sensing. Bits 5 to 0--IRQ5 to IRQ0 Sense Control (IRQ5SC to IRQ0SC): These bits select whether interrupts IRQ5 to IRQ0 are requested by level sensing of pins IRQ5 to IRQ0, or by falling-edge sensing.
Bits 5 to 0 IRQ5SC to IRQ0SC 0 1
Description Interrupts are requested when IRQ5 to IRQ0 inputs are low Interrupts are requested by falling-edge input at IRQ5 to IRQ0 (Initial value)
94
5.3 Interrupt Sources
The interrupt sources include external interrupts (NMI, IRQ0 to IRQ5) and 30 internal interrupts. 5.3.1 External Interrupts There are seven external interrupts: NMI, and IRQ0 to IRQ5. Of these, NMI, IRQ0, IRQ1, and IRQ2 can be used to exit software standby mode. NMI: NMI is the highest-priority interrupt and is always accepted, regardless of the states of the I and UI bits in CCR. The NMIEG bit in SYSCR selects whether an interrupt is requested by the rising or falling edge of the input at the NMI pin. NMI interrupt exception handling has vector number 7. IRQ0 to IRQ5 Interrupts: These interrupts are requested by input signals at pins IRQ0 to IRQ5. The IRQ0 to IRQ5 interrupts have the following features. * ISCR settings can select whether an interrupt is requested by the low level of the input at pins IRQ0 to IRQ5, or by the falling edge. IER settings can enable or disable the IRQ0 to IRQ5 interrupts. Interrupt priority levels can be assigned by four bits in IPRA (IPRA7 to IPRA4). The status of IRQ0 to IRQ5 interrupt requests is indicated in ISR. The ISR flags can be cleared to 0 by software.
*
*
Figure 5-2 shows a block diagram of interrupts IRQ0 to IRQ5.
IRQnSC IRQnF Edge/level sense circuit IRQn input S R Clear signal Note: n = 5 to 0 Q
IRQnE
IRQn interrupt request
Figure 5-2 Block Diagram of Interrupts IRQ0 to IRQ5
95
Figure 5-3 shows the timing of the setting of the interrupt flags (IRQnF).
o IRQn input pin IRQnF Note: n = 5 to 0
Figure 5-3 Timing of Setting of IRQnF Interrupts IRQ0 to IRQ5 have vector numbers 12 to 17. These interrupts are detected regardless of whether the corresponding pin is set for input or output. When using a pin for external interrupt input, clear its DDR bit to 0 and do not use the pin for chip select output, refresh output, or SCI input or output. 5.3.2 Internal Interrupts Thirty internal interrupts are requested from the on-chip supporting modules. * Each on-chip supporting module has status flags for indicating interrupt status, and enable bits for enabling or disabling interrupts. Interrupt priority levels can be assigned in IPRA and IPRB. ITU and SCI interrupt requests can activate the DMAC, in which case no interrupt request is sent to the interrupt controller, and the I and UI bits are disregarded.
* *
5.3.3 Interrupt Vector Table Table 5-3 lists the interrupt sources, their vector addresses, and their default priority order. In the default priority order, smaller vector numbers have higher priority. The priority of interrupts other than NMI can be changed in IPRA and IPRB. The priority order after a reset is the default order shown in table 5-3.
96
Table 5-3 Interrupt Sources, Vector Addresses, and Priority
Interrupt Source NMI IRQ0 IRQ1 IRQ2 IRQ3 IRQ4 IRQ5 Reserved -- Origin External pins Vector Number 7 12 13 14 15 16 17 18 19 WOVI (interval timer) CMI (compare match) Reserved Watchdog timer Refresh controller -- 20 21 22 23 IMIA0 (compare match/ input capture A0) IMIB0 (compare match/ input capture B0) OVI0 (overflow 0) Reserved IMIA1 (compare match/ input capture A1) IMIB1 (compare match/ input capture B1) OVI1 (overflow 1) Reserved -- -- ITU channel 1 ITU channel 0 24 Vector Address* H'001C to H'001F H'0030 to H'0033 H'0034 to H0037 H'0038 to H'003B H'003C to H'003F H'0040 to H'0043 H'0044 to H'0047 H'0048 to H'004B H'004C to H'004F H'0050 to H'0053 H'0054 to H'0057 H'0058 to H'005B H'005C to H'005F H'0060 to H'0063 IPRA2 IPRA3 IPRA4 IPR -- IPRA7 IPRA6 IPRA5 Priority High
25
H'0064 to H'0067
26 27 28
H'0068 to H'006B H'006C to H'006F H'0070 to H'0073 IPRA1
29
H'0074 to H'0077
30 31
H'0078 to H'007B H'007C to H'007F Low
Note: * Lower 16 bits of the address.
97
Table 5-3 Interrupt Sources, Vector Addresses, and Priority (cont)
Interrupt Source IMIA2 (compare match/ input capture A2) IMIB2 (compare match/ input capture B2) OVI2 (overflow 2) Reserved IMIA3 (compare match/ input capture A3) IMIB3 (compare match/ input capture B3) OVI3 (overflow 3) Reserved IMIA4 (compare match/ input capture A4) IMIB4 (compare match/ input capture B4) OVI4 (overflow 4) Reserved DEND0A DEND0B DEND1A DEND1B Reserved -- -- DMAC -- ITU channel 4 -- ITU channel 3 Origin ITU channel 2 Vector Number 32 Vector Address* H'0080 to H'0083 IPR IPRA0 Priority High
33
H'0084 to H'0087
34 35 36
H'0088 to H'008B H'008C to H'008F H'0090 to H'0093 IPRB7
37
H'0094 to H'0097
38 39 40
H'0098 to H'009B H'009C to H'009F H'00A0 to H'00A3 IPRB6
41
H'00A4 to H'00A7
42 43 44 45 46 47 48 49 50 51
H'00A8 to H'00AB H'00AC to H'00AF H'00B0 to H'00B3 H'00B4 to H'00B7 H'00B8 to H'00BB H'00BC to H'00BF H'00C0 to H'00C3 H'00C4 to H'00C7 H'00C8 to H'00CB H'00CC to H'00CF Low -- IPRB5
Note: * Lower 16 bits of the address.
98
Table 5-3 Interrupt Sources, Vector Addresses, and Priority (cont)
Interrupt Source ERI0 (receive error 0) RXI0 (receive data full 0) TXI0 (transmit data empty 0) TEI0 (transmit end 0) ERI1 (receive error 1) RXI1 (receive data full 1) TXI1 (transmit data empty 1) TEI1 (transmit end 1) ADI (A/D end) A/D SCI channel 1 Origin SCI channel 0 Vector Number 52 53 54 55 56 57 58 59 60 Vector Address* H'00D0 to H'00D3 H'00D4 to H'00D7 H'00D8 to H'00DB H'00DC to H'00DF H'00E0 to H'00E3 H'00E4 to H'00E7 H'00E8 to H'00EB H'00EC to H'00EF H'00F0 to H'00F3 IPRB1 Low IPRB2 IPR IPRB3 Priority High
Note: * Lower 16 bits of the address.
99
5.4 Interrupt Operation
5.4.1 Interrupt Handling Process The H8/3048 Series handles interrupts differently depending on the setting of the UE bit. When UE = 1, interrupts are controlled by the I bit. When UE = 0, interrupts are controlled by the I and UI bits. Table 5-4 indicates how interrupts are handled for all setting combinations of the UE, I, and UI bits. NMI interrupts are always accepted except in the reset and hardware standby states. IRQ interrupts and interrupts from the on-chip supporting modules have their own enable bits. Interrupt requests are ignored when the enable bits are cleared to 0. Table 5-4 UE, I, and UI Bit Settings and Interrupt Handling
SYSCR UE 1 I 0 1 0 0 1 CCR UI -- -- -- 0 1 Description All interrupts are accepted. Interrupts with priority level 1 have higher priority. No interrupts are accepted except NMI. All interrupts are accepted. Interrupts with priority level 1 have higher priority. NMI and interrupts with priority level 1 are accepted. No interrupts are accepted except NMI.
UE = 1: Interrupts IRQ0 to IRQ5 and interrupts from the on-chip supporting modules can all be masked by the I bit in the CPU's CCR. Interrupts are masked when the I bit is set to 1, and unmasked when the I bit is cleared to 0. Interrupts with priority level 1 have higher priority. Figure 5-4 is a flowchart showing how interrupts are accepted when UE = 1.
100
Program execution state
No Interrupt requested? Yes Yes NMI No No Priority level 1? Yes No No Pending
IRQ 0 Yes
IRQ 0 No Yes
IRQ 1 Yes
IRQ 1 Yes
No
ADI Yes
ADI Yes
No I=0 Yes Save PC and CCR I 1 Read vector address Branch to interrupt service routine
Figure 5-4 Process Up to Interrupt Acceptance when UE = 1
101
*
If an interrupt condition occurs and the corresponding interrupt enable bit is set to 1, an interrupt request is sent to the interrupt controller. When the interrupt controller receives one or more interrupt requests, it selects the highestpriority request, following the IPR interrupt priority settings, and holds other requests pending. If two or more interrupts with the same IPR setting are requested simultaneously, the interrupt controller follows the priority order shown in table 5-3. The interrupt controller checks the I bit. If the I bit is cleared to 0, the selected interrupt request is accepted. If the I bit is set to 1, only NMI is accepted; other interrupt requests are held pending. When an interrupt request is accepted, interrupt exception handling starts after execution of the current instruction has been completed. In interrupt exception handling, PC and CCR are saved to the stack area. The PC value that is saved indicates the address of the first instruction that will be executed after the return from the interrupt service routine. Next the I bit is set to 1 in CCR, masking all interrupts except NMI. The vector address of the accepted interrupt is generated, and the interrupt service routine starts executing from the address indicated by the contents of the vector address.
*
*
*
*
* *
UE = 0: The I and UI bits in the CPU's CCR and the IPR bits enable three-level masking of IRQ0 to IRQ5 interrupts and interrupts from the on-chip supporting modules. * Interrupt requests with priority level 0 are masked when the I bit is set to 1, and are unmasked when the I bit is cleared to 0. Interrupt requests with priority level 1 are masked when the I and UI bits are both set to 1, and are unmasked when either the I bit or the UI bit is cleared to 0. For example, if the interrupt enable bits of all interrupt requests are set to 1, IPRA is set to H'20, and IPRB is set to H'00 (giving IRQ2 and IRQ3 interrupt requests priority over other interrupts), interrupts are masked as follows: a. If I = 0, all interrupts are unmasked (priority order: NMI > IRQ2 > IRQ3 >IRQ0 ...). b. If I = 1 and UI = 0, only NMI, IRQ2, and IRQ3 are unmasked. c. If I = 1 and UI = 1, all interrupts are masked except NMI.
*
102
Figure 5-5 shows the transitions among the above states.
I0 a. All interrupts are unmasked I 1, UI 0 b. Only NMI, IRQ 2 , and IRQ 3 are unmasked
I0
Exception handling, or I 1, UI 1
UI 0 Exception handling, or UI 1
c. All interrupts are masked except NMI
Figure 5-5 Interrupt Masking State Transitions (Example) Figure 5-6 is a flowchart showing how interrupts are accepted when UE = 0. * If an interrupt condition occurs and the corresponding interrupt enable bit is set to 1, an interrupt request is sent to the interrupt controller. When the interrupt controller receives one or more interrupt requests, it selects the highestpriority request, following the IPR interrupt priority settings, and holds other requests pending. If two or more interrupts with the same IPR setting are requested simultaneously, the interrupt controller follows the priority order shown in table 5-3. The interrupt controller checks the I bit. If the I bit is cleared to 0, the selected interrupt request is accepted regardless of its IPR setting, and regardless of the UI bit. If the I bit is set to 1 and the UI bit is cleared to 0, only NMI and interrupts with priority level 1 are accepted; interrupt requests with priority level 0 are held pending. If the I bit and UI bit are both set to 1, only NMI is accepted; all other interrupt requests are held pending. When an interrupt request is accepted, interrupt exception handling starts after execution of the current instruction has been completed. In interrupt exception handling, PC and CCR are saved to the stack area. The PC value that is saved indicates the address of the first instruction that will be executed after the return from the interrupt service routine. The I and UI bits are set to 1 in CCR, masking all interrupts except NMI. The vector address of the accepted interrupt is generated, and the interrupt service routine starts executing from the address indicated by the contents of the vector address.
*
*
* *
* *
103
Program execution state
No Interrupt requested? Yes Yes NMI No No Priority level 1? Yes No No Pending
IRQ 0 Yes
IRQ 0 No Yes
IRQ 1 Yes
IRQ 1 Yes
No
ADI Yes
ADI Yes
No I=0 Yes No UI = 0 Yes I=0 Yes
No
Save PC and CCR I 1, UI 1 Read vector address Branch to interrupt service routine
Figure 5-6 Process Up to Interrupt Acceptance when UE = 0
104
Interrupt accepted
Interrupt level decision and wait for end of instruction Instruction Internal prefetch processing Stack Vector fetch
Prefetch of interrupt Internal service routine processing instruction
o
5.4.2 Interrupt Sequence
Interrupt request signal (1) (3) (5) (7) (9) (11) (13)
Address bus
RD High (2) (4) (6) (8) (10) (12) (14)
HWR , LWR
Figure 5-7 shows the interrupt sequence in mode 2 when the program code and stack are in an external memory area accessed in two states via a 16-bit bus.
Figure 5-7 Interrupt Sequence (Mode 2, Two-State Access, Stack in External Memory)
105
D15 to D0
(1)
Instruction prefetch address (not executed; return address, same as PC contents) (2), (4) Instruction code (not executed) (3) Instruction prefetch address (not executed) (5) SP - 2 (7) SP - 4
(6), (8) PC and CCR saved to stack (9), (11) Vector address (10), (12) Starting address of interrupt service routine (contents of vector address) (13) Starting address of interrupt service routine; (13) = (10), (12) (14) First instruction of interrupt service routine
Note: Mode 2, with program code and stack in external memory area accessed in two states via 16-bit bus.
5.4.3 Interrupt Response Time Table 5-5 indicates the interrupt response time from the occurrence of an interrupt request until the first instruction of the interrupt service routine is executed. Table 5-5 Interrupt Response Time
External Memory On-Chip Memory 2*1 1 to 23 8-Bit Bus 2 States 2*1 1 to 27 3 States 2*1 1 to 31*4 16-Bit Bus 2 States 2*1 1 to 23 3 States 2*1 1 to 25*4
No. Item 1 2 Interrupt priority decision Maximum number of states until end of current instruction Saving PC and CCR to stack Vector fetch Instruction prefetch*2 Internal processing*3
3 4 5 6 Total
4 4 4 4 19 to 41
8 8 8 4 31 to 57
12*4 12*4 12*4 4 43 to 73
4 4 4 4 19 to 41
6*4 6*4 6*4 4 25 to 49
Notes: 1. 1 state for internal interrupts. 2. Prefetch after the interrupt is accepted and prefetch of the first instruction in the interrupt service routine. 3. Internal processing after the interrupt is accepted and internal processing after prefetch. 4. The number of states increases if wait states are inserted in external memory access.
106
5.5 Usage Notes
5.5.1 Contention between Interrupt and Interrupt-Disabling Instruction When an instruction clears an interrupt enable bit to 0 to disable the interrupt, the interrupt is not disabled until after execution of the instruction is completed. If an interrupt occurs while a BCLR, MOV, or other instruction is being executed to clear its interrupt enable bit to 0, at the instant when execution of the instruction ends the interrupt is still enabled, so its interrupt exception handling is carried out. If a higher-priority interrupt is also requested, however, interrupt exception handling for the higher-priority interrupt is carried out, and the lower-priority interrupt is ignored. This also applies to the clearing of an interrupt flag. Figure 5-8 shows an example in which an IMIEA bit is cleared to 0 in TIER of the ITU.
TIER write cycle by CPU o Internal address bus Internal write signal IMIEA
IMIA exception handling
TIER address
IMIA IMFA interrupt signal
Figure 5-8 Contention between Interrupt and Interrupt-Disabling Instruction This type of contention will not occur if the interrupt is masked when the interrupt enable bit or flag is cleared to 0.
107
5.5.2 Instructions that Inhibit Interrupts The LDC, ANDC, ORC, and XORC instructions inhibit interrupts. When an interrupt occurs, after determining the interrupt priority, the interrupt controller requests a CPU interrupt. If the CPU is currently executing one of these interrupt-inhibiting instructions, however, when the instruction is completed the CPU always continues by executing the next instruction. 5.5.3 Interrupts during EEPMOV Instruction Execution The EEPMOV.B and EEPMOV.W instructions differ in their reaction to interrupt requests. When the EEPMOV.B instruction is executing a transfer, no interrupts are accepted until the transfer is completed, not even NMI. When the EEPMOV.W instruction is executing a transfer, interrupt requests other than NMI are not accepted until the transfer is completed. If NMI is requested, NMI exception handling starts at a transfer cycle boundary. The PC value saved on the stack is the address of the next instruction. Programs should be coded as follows to allow for NMI interrupts during EEPMOV.W execution: L1: EEPMOV.W MOV.W R4,R4 BNE L1 5.5.4 Notes on External Interrupts during Use If the IRQnF flag is at IRQnF = 1, after reading the IRQnF flag if the IRQnF flag writes 0 clear status is reached. However, there are times when clear status occurs in error and interrupt processing is not executed when the IRQnF flag is at 0 although IRQnF = 1 was not attained. This occurs in when the following conditions are fulfilled. * 1. 2. 3. Setting conditions When using multiple external interrupts (IRQa, IRQb) IRQaF flag clears because 0 is written, and IRQbF flag clears by the hardware. IRQaF flag clears and bit operation command is being used for the IRQ status resistor (ISR) or the ISR is being read in bytes; IRQaF flag's bits clear and other bit values read in bits are written in bytes. Occurrence conditions When IRQaF = 1, for the IRQaF flag to clear, ISR resistor read is executed. Thereafter interrupt processing is carried out and IRQbF flag clears.
* 1.
108
2.
IRQaF flag clear and IRQbF flag generation compete (IRQaF flag setting). (The ISR read needed for IRQaF flag clear was at IRQbF = 0 but in the time taken for ISR write, IRQbF = 1 was reached.)
In all of the setting conditions 1 to 3 and occurrence conditions 1 and 2 are generated, IRQbF clears in error during ISR write for occurrence condition 2 and interrupt processing is not carried out. However, if IRQbF flag reaches 0 between occurrence conditions 1 and 2, IRQbF flag does not clear in error.
IRQaF
Read Write 1 0
Read Write 1 0
IRQbF
Read Write IRQb 1 1 Execution
Read Write 0 0
Clear in error Occurrence condition 1 Occurrence condition 2
Figure 5-9 IRQnF Flag When Interrupt Processing Is Not Conducted In this situation, conduct one of the following countermeasures. Countermeasure 1 When IRQaF flag clears, do not use the bit computation command, read the ISR in bytes. When IRQaF only is 0 write all other bits as 1 in bytes. For example, if a = 0 MOV.B @ISR,R0L MOV.B #HFE,R0L
109
MOV.B R0L,@ISR Countermeasure 2 During IRQb interrupt processing, carry out IRQb Fflag clear dummy processing. For example, if b = 1 IRQB MOV.B #HFD,R0L MOV.B R0L,@ISR * * *
110
Section 6 Bus Controller
6.1 Overview
The H8/3048 Series has an on-chip bus controller that divides the address space into eight areas and can assign different bus specifications to each. This enables different types of memory to be connected easily. A bus arbitration function of the bus controller controls the operation of the DMA controller (DMAC) and refresh controller. The bus controller can also release the bus to an external device. 6.1.1 Features Features of the bus controller are listed below. * Independent settings for address areas 0 to 7 -- -- -- -- * 128-kbyte areas in 1-Mbyte modes; 2-Mbyte areas in 16-Mbyte modes. Chip select signals (CS0 to CS7) can be output for areas 0 to 7. Areas can be designated for 8-bit or 16-bit access. Areas can be designated for two-state or three-state access.
Four wait modes -- Programmable wait mode, pin auto-wait mode, and pin wait modes 0 and 1 can be selected. -- Zero to three wait states can be inserted automatically.
*
Bus arbitration function -- A built-in bus arbiter grants the bus right to the CPU, DMAC, refresh controller, or an external bus master.
111
6.1.2 Block Diagram Figure 6-1 shows a block diagram of the bus controller.
CS0 to CS7 ABWCR Internal address bus ASTCR Area decoder Chip select control signals WCER CSCR Bus control circuit Internal signals Bus mode control signal Bus size control signal Access state control signal Wait request signal Internal data bus BACK Legend ABWCR: ASTCR: WCER: WCR: BRCR: CSCR: Bus width control register Access state control register Wait state controller enable register Wait control register Bus release control register Chip select control register BREQ
WAIT
Wait-state controller WCR Internal signals
CPU bus request signal DMAC bus request signal Refresh controller bus request signal CPU bus acknowledge signal DMAC bus acknowledge signal Refresh controller bus acknowledge signal
BRCR Bus arbiter
Figure 6-1 Block Diagram of Bus Controller
112
6.1.3 Input/Output Pins Table 6-1 summarizes the bus controller's input/output pins. Table 6-1 Bus Controller Pins
Name Chip select 0 to 7 Address strobe Read High write Abbreviation CS0 to CS7 AS RD HWR I/O Output Output Output Output Function Strobe signals selecting areas 0 to 7 Strobe signal indicating valid address output on the address bus Strobe signal indicating reading from the external address space Strobe signal indicating writing to the external address space, with valid data on the upper data bus (D15 to D8) Strobe signal indicating writing to the external address space, with valid data on the lower data bus (D7 to D0) Wait request signal for access to external threestate-access areas Request signal for releasing the bus to an external device Acknowledge signal indicating the bus is released to an external device
Low write
LWR
Output
Wait Bus request Bus acknowledge
WAIT BREQ BACK
Input Input Output
6.1.4 Register Configuration Table 6-2 summarizes the bus controller's registers. Table 6-2 Bus Controller Registers
Abbreviation R/W ABWCR ASTCR WCR WCER BRCR CSCR R/W R/W R/W R/W R/W R/W Initial Value Modes 1, 3, 5, 6 H'FF H'FF H'F3 H'FF H'FE H'0F Modes 2, 4, 7 H'00 H'FF H'F3 H'FF H'FE H'0F
Address* H'FFEC H'FFED H'FFEE H'FFEF H'FFF3 H'FF5F
Name Bus width control register Access state control register Wait control register Wait state controller enable register Bus release control register Chip select control register
Note: * Lower 16 bits of the address. 113
6.2 Register Descriptions
6.2.1 Bus Width Control Register (ABWCR) ABWCR is an 8-bit readable/writable register that selects 8-bit or 16-bit access for each area.
Bit
Mode 1, 3, 5, 6
7 ABW7 1 0 R/W
6 ABW6 1 0 R/W
5 ABW5 1 0 R/W
4 ABW4 1 0 R/W
3 ABW3 1 0 R/W
2 ABW2 1 0 R/W
1 ABW1 1 0 R/W
0 ABW0 1 0 R/W
Initial value Mode 2, 4, 7 Read/Write
Bits selecting bus width for each area
When ABWCR contains H'FF (selecting 8-bit access for all areas), the chip operates in 8-bit bus mode: the upper data bus (D15 to D8) is valid, and port 4 is an input/output port. When at least one bit is cleared to 0 in ABWCR, the chip operates in 16-bit bus mode with a 16-bit data bus (D15 to D0). In modes 1, 3, 5, and 6 ABWCR is initialized to H'FF by a reset and in hardware standby mode. In modes 2, 4, and 7 ABWCR is initialized to H'00 by a reset and in hardware standby mode. ABWCR is not initialized in software standby mode. Bits 7 to 0--Area 7 to 0 Bus Width Control (ABW7 to ABW0): These bits select 8-bit access or 16-bit access to the corresponding address areas.
Bits 7 to 0 ABW7 to ABW0 0 1 Description Areas 7 to 0 are 16-bit access areas Areas 7 to 0 are 8-bit access areas
ABWCR specifies the bus width of external memory areas. The bus width of on-chip memory and registers is fixed and does not depend on ABWCR settings. These settings are therefore meaningless in single-chip mode (mode 7).
114
6.2.2 Access State Control Register (ASTCR) ASTCR is an 8-bit readable/writable register that selects whether each area is accessed in two states or three states.
Bit Initial value Read/Write 7 AST7 1 R/W 6 AST6 1 R/W 5 AST5 1 R/W 4 AST4 1 R/W 3 AST3 1 R/W 2 AST2 1 R/W 1 AST1 1 R/W 0 AST0 1 R/W
Bits selecting number of states for access to each area
ASTCR is initialized to H'FF by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 7 to 0--Area 7 to 0 Access State Control (AST7 to AST0): These bits select whether the corresponding area is accessed in two or three states.
Bits 7 to 0 AST7 to AST0 0 1 Description Areas 7 to 0 are accessed in two states Areas 7 to 0 are accessed in three states (Initial value)
ASTCR specifies the number of states in which external areas are accessed. On-chip memory and registers are accessed in a fixed number of states that does not depend on ASTCR settings. These settings are therefore meaningless in single-chip mode (mode 7).
115
6.2.3 Wait Control Register (WCR) WCR is an 8-bit readable/writable register that selects the wait mode for the wait-state controller (WSC) and specifies the number of wait states.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 WMS1 0 R/W 2 WMS0 0 R/W 1 WC1 1 R/W 0 WC0 1 R/W
Reserved bits
Wait count 1/0 These bits select the number of wait states inserted Wait mode select 1/0 These bits select the wait mode
WCR is initialized to H'F3 by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 7 to 4--Reserved: Read-only bits, always read as 1. Bits 3 and 2--Wait Mode Select 1 and 0 (WMS1/0): These bits select the wait mode.
Bit 3 WMS1 0 Bit 2 WMS0 0 1 1 0 1 Description Programmable wait mode No wait states inserted by wait-state controller Pin wait mode 1 Pin auto-wait mode (Initial value)
116
Bits 1 and 0--Wait Count 1 and 0 (WC1/0): These bits select the number of wait states inserted in access to external three-state-access areas.
Bit 1 WC1 0 Bit 0 WC0 0 1 1 0 1 Description No wait states inserted by wait-state controller 1 state inserted 2 states inserted 3 states inserted (Initial value)
6.2.4 Wait State Controller Enable Register (WCER) WCER is an 8-bit readable/writable register that enables or disables wait-state control of external three-state-access areas by the wait-state controller.
Bit Initial value Read/Write 7 WCE7 1 R/W 6 WCE6 1 R/W 5 WCE5 1 R/W 4 WCE4 1 R/W 3 WCE3 1 R/W 2 WCE2 1 R/W 1 WCE1 1 R/W 0 WCE0 1 R/W
Wait-state controller enable 7 to 0 These bits enable or disable wait-state control
WCER is initialized to H'FF by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 7 to 0--Wait-State Controller Enable 7 to 0 (WCE7 to WCE0): These bits enable or disable wait-state control of external three-state-access areas.
Bits 7 to 0 WCE7 to WCE0 0 1 Description Wait-state control disabled (pin wait mode 0) Wait-state control enabled (Initial value)
Since WCER enables or disables wait-state control of external three-state-access areas, these settings are meaningless in single-chip mode (mode 7).
117
6.2.5 Bus Release Control Register (BRCR) BRCR is an 8-bit readable/writable register that enables address output on bus lines A23 to A21 and enables or disables release of the bus to an external device.
Bit Initial value 7 A23E 1 Read/ Mode 1, 2, 5, 7 -- Write Mode 3, 4, 6 R/W 6 A22E 1 -- R/W 5 A21E 1 -- R/W 4 -- 1 -- -- 3 -- 1 -- -- 2 -- 1 -- -- 1 -- 1 -- -- 0 BRLE 0 R/W R/W
Address 23 to 21 enable These bits enable PA 6 to PA 4 to be used for A 23 to A 21 address output
Reserved bits
Bus release enable Enables or disables release of the bus to an external device
BRCR is initialized to H'FE by a reset and in hardware standby mode. It is not initialized in software standby mode. Bit 7--Address 23 Enable (A23E): Enables PA4 to be used as the A23 address output pin. Writing 0 in this bit enables A23 address output from PA4. In modes other than 3, 4, and 6 this bit cannot be modified and PA4 has its ordinary input/output functions.
Bit 7 A23E 0 1 Description PA4 is the A23 address output pin PA4 is the PA4/TP4/TIOCA1 input/output pin (Initial value)
Bit 6--Address 22 Enable (A22E): Enables PA5 to be used as the A22 address output pin. Writing 0 in this bit enables A22 address output from PA5. In modes other than 3, 4, and 6 this bit cannot be modified and PA5 has its ordinary input/output functions.
Bit 6 A22E 0 1 Description PA5 is the A22 address output pin PA5 is the PA5/TP5/TIOCB1 input/output pin (Initial value)
118
Bit 5--Address 21 Enable (A21E): Enables PA6 to be used as the A21 address output pin. Writing 0 in this bit enables A21 address output from PA6. In modes other than 3, 4, and 6 this bit cannot be modified and PA6 has its ordinary input/output functions.
Bit 5 A21E 0 1 Description PA6 is the A21 address output pin PA6 is the PA6/TP6/TIOCA2 input/output pin (Initial value)
Bits 4 to 1--Reserved: Read-only bits, always read as 1. Bit 0--Bus Release Enable (BRLE): Enables or disables release of the bus to an external device.
Bit 0 BRLE 0 1 Description The bus cannot be released to an external device; BREQ and BACK can be used as input/output pins The bus can be released to an external device (Initial value)
6.2.6 Chip Select Control Register (CSCR) CSCR is an 8-bit readable/writable register that enables or disables output of chip select signals (CS7 to CS4). If a chip select signal (CS7 to CS4) output is selected in this register, the corresponding pin functions as a chip select signal (CS7 to CS4) output, this function taking priority over other functions. CSCR cannot be modified in single-chip mode.
Bit Initial value Read/Write 7 CS7E 0 R/W 6 CS6E 0 R/W 5 CS5E 0 R/W 4 CS4E 0 R/W 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Chip select 7 to 4 enable These bits enable or disable chip select signal output
Reserved bits
CSCR is initialized to H'0F by a reset and in hardware standby mode. It is not initialized in software standby mode.
119
Bits 7 to 4--Chip Select 7 to 4 Enable (CS7E to CS4E): These bits enable or disable output of the corresponding chip select signal.
Bit n CSnE 0 1 Description Output of chip select signal CSn is disabled Output of chip select signal CSn is enabled (Initial value)
Note: n = 7 to 4
Bits 3 to 0--Reserved: Read-only bits, always read as 1.
120
6.3 Operation
6.3.1 Area Division The external address space is divided into areas 0 to 7. Each area has a size of 128 kbytes in the 1-Mbyte modes, or 2 Mbytes in the 16-Mbyte modes. Figure 6-2 shows a general view of the memory map.
H'00000 Area 0 (128 kbytes) H'1FFFF H'20000 Area 1 (128 kbytes) H'3FFFF H'40000 Area 2 (128 kbytes) H'5FFFF H'60000 Area 3 (128 kbytes) H'7FFFF H'80000 Area 4 (128 kbytes) H'9FFFF H'A0000 Area 5 (128 kbytes) H'BFFFF H'C0000 Area 6 (128 kbytes) H'DFFFF H'E0000 Area 7 (128 kbytes) On-chip RAM * 1, *2 External address space*3 H'FFFFF On-chip registers *1 a. 1-Mbyte modes with on-chip ROM disabled (modes 1 and 2)
H'000000 Area 0 (2 Mbytes) H'1FFFFF H'200000 Area 1 (2 Mbytes) H'3FFFFF H'400000 Area 2 (2 Mbytes) H'5FFFFF H'600000 Area 3 (2 Mbytes) H'7FFFFF H'800000 Area 4 (2 Mbytes) H'9FFFFF H'A00000 Area 5 (2 Mbytes) H'BFFFFF H'C00000 Area 6 (2 Mbytes) H'DFFFFF H'E00000 Area 7 (2 Mbytes) On-chip RAM * 1, *2 External address space*3 H'FFFFFF On-chip registers *1 b. 16-Mbyte modes with on-chip ROM disabled (modes 3 and 4)
H'00000 H'1FFFF H'20000 H'3FFFF H'40000
On-chip ROM *1 Area 0 (128 kbytes) Area 1 (128 kbytes)
H'000000 H'1FFFFF H'200000 H'3FFFFF H'400000
On-chip ROM *1 Area 0 (2 Mbytes) Area 1 (2 Mbytes)
Area 2 (128 kbytes) H'5FFFF H'60000 Area 3 (128 kbytes) H'7FFFF H'80000 Area 4 (128 kbytes) H'9FFFF H'A0000 Area 5 (128 kbytes) H'BFFFF H'C0000 Area 6 (128 kbytes) H'DFFFF H'E0000 Area 7 (128 kbytes) On-chip RAM * 1, *2 External address space*3 H'FFFFF On-chip registers*1 c. 1-Mbyte mode with on-chip ROM enabled (mode 5) H'FFFFFF H'DFFFFF H'E00000 H'BFFFFF H'C00000 H'9FFFFF H'A00000 H'7FFFFF H'800000 H'5FFFFF H'600000
Area 2 (2 Mbytes)
Area 3 (2 Mbytes)
Area 4 (2 Mbytes)
Area 5 (2 Mbytes)
Area 6 (2 Mbytes) Area 7 (2 Mbytes) On-chip RAM * 1, *2 External address space*3 On-chip registers*1 d. 16-Mbyte mode with on-chip ROM enabled (mode 6)
Notes: 1. The on-chip ROM, on-chip RAM, and on-chip registers have a fixed bus width and are accessed in a fixed number of states. 2. When the RAME bit is cleared to 0 in SYSCR, this area conforms to the specifications of area 7. 3. This external address area conforms to the specifications of area 7.
Figure 6-2 Access Area Map for Modes 1 to 6
121
Chip select signals (CS0 to CS7) can be output for areas 0 to 7. The bus specifications for each area can be selected in ABWCR, ASTCR, WCER, and WCR as shown in table 6-3. Table 6-3 Bus Specifications
ABWCR ASTCR WCER ABWn 0 ASTn 0 1 WCEn -- 0 1 WCR WMS1 -- -- 0 WMS0 -- -- 0 1 1 0 1 1 0 1 -- 0 1 -- -- 0 -- -- 0 1 1 0 1 Note: n = 0 to 7 Bus Width 16 16 16 16 16 16 8 8 8 8 8 8 Bus Specifications Access States Wait Mode 2 3 3 3 3 3 2 3 3 3 3 3 Disabled Pin wait mode 0 Programmable wait mode Disabled Pin wait mode 1 Pin auto-wait mode Disabled Pin wait mode 0 Programmable wait mode Disabled Pin wait mode 1 Pin auto-wait mode
122
6.3.2 Chip Select Signals For each of areas 0 to 7, the H8/3048 Series can output a chip select signal (CS0 to CS7) that goes low to indicate when the area is selected. Figure 6-3 shows the output timing of a CSn signal (n = 0 to 7). Output of CS0 to CS3: Output of CS0 to CS3 is enabled or disabled in the data direction register (DDR) of the corresponding port. In the expanded modes with on-chip ROM disabled, a reset leaves pin CS0 in the output state and pins CS1 to CS3 in the input state. To output chip select signals CS1 to CS3, the corresponding DDR bits must be set to 1. In the expanded modes with on-chip ROM enabled, a reset leaves pins CS0 to CS3 in the input state. To output chip select signals CS0 to CS3, the corresponding DDR bits must be set to 1. For details see section 9, I/O Ports. Output of CS4 to CS7: Output of CS4 to CS7 is enabled or disabled in the chip select control register (CSCR). A reset leaves pins CS4 to CS7 in the input state. To output chip select signals CS4 to CS7, the corresponding CSCR bits must be set to 1. For details see section 9, I/O Ports.
o
Address bus CSn
External address in area n
Figure 6-3 CSn Output Timing (n = 0 to 7) When the on-chip ROM, on-chip RAM, and on-chip registers are accessed, CS0 and CS7 remain high. The CSn signals are decoded from the address signals. They can be used as chip select signals for SRAM and other devices.
123
6.3.3 Data Bus The H8/3048 Series allows either 8-bit access or 16-bit access to be designated for each of areas 0 to 7. An 8-bit-access area uses the upper data bus (D15 to D8). A 16-bit-access area uses both the upper data bus (D15 to D8) and lower data bus (D7 to D0). In read access the RD signal applies without distinction to both the upper and lower data bus. In write access the HWR signal applies to the upper data bus, and the LWR signal applies to the lower data bus. Table 6-4 indicates how the two parts of the data bus are used under different access conditions. Table 6-4 Access Conditions and Data Bus Usage
Area 8-bit-access area Access Read/ Size Write -- Read Write Read Valid Address Strobe -- -- Even Odd Write Even Odd Word Read Write -- -- HWR LWR RD RD HWR RD Valid Invalid Valid Upper Data Bus (D15 to D8) Valid Lower Data Bus (D7 to D0) Invalid Undetermined data Invalid Valid Undetermined data
16-bit-access Byte area
Undetermined data Valid Valid Valid Valid
HWR, LWR Valid
Note: Undetermined data means that unpredictable data is output. Invalid means that the bus is in the input state and the input is ignored.
124
6.3.4 Bus Control Signal Timing 8-Bit, Three-State-Access Areas: Figure 6-4 shows the timing of bus control signals for an 8-bit, three-state-access area. The upper address bus (D15 to D8) is used to access these areas. The LWR pin is always high. Wait states can be inserted.
Bus cycle T1 o T2 T3
Address bus
External address in area n
CS n
AS
RD
Read access
D15 to D8
Valid
D 7 to D 0 HWR
Invalid
Write access
LWR
High
D15 to D8
Valid
D 7 to D 0
Undetermined data
Note: n = 7 to 0
Figure 6-4 Bus Control Signal Timing for 8-Bit, Three-State-Access Area
125
8-Bit, Two-State-Access Areas: Figure 6-5 shows the timing of bus control signals for an 8-bit, two-state-access area. The upper address bus (D15 to D8) is used to access these areas. The LWR pin is always high. Wait states cannot be inserted.
Bus cycle T1 o T2
Address bus
External address in area n
CS n
AS
RD
Read access
D15 to D8
Valid
D 7 to D 0 HWR
Invalid
LWR Write access D15 to D8
High
Valid
D 7 to D 0
Undetermined data
Note: n = 7 to 0
Figure 6-5 Bus Control Signal Timing for 8-Bit, Two-State-Access Area
126
16-Bit, Three-State-Access Areas: Figures 6-6 to 6-8 show the timing of bus control signals for a 16-bit, three-state-access area. In these areas, the upper address bus (D15 to D8) is used to access even addresses and the lower address bus (D7 to D0) is used to access odd addresses. Wait states can be inserted.
Bus cycle T1 o T2 T3
Address bus
Even external address in area n
CS n
AS
RD
Read access
D15 to D8
Valid
D 7 to D 0 HWR
Invalid
LWR Write access D15 to D8
High
Valid
D 7 to D 0 Note: n = 7 to 0
Undetermined data
Figure 6-6 Bus Control Signal Timing for 16-Bit, Three-State-Access Area (1) (Byte Access to Even Address)
127
Bus cycle T1 o T2 T3
Address bus
Odd external address in area n
CS n
AS
RD
Read access
D15 to D8
Invalid
D 7 to D 0 HWR
Valid
High
LWR Write access D15 to D8 Undetermined data
D 7 to D 0
Valid
Note: n = 7 to 0
Figure 6-7 Bus Control Signal Timing for 16-Bit, Three-State-Access Area (2) (Byte Access to Odd Address)
128
Bus cycle T1 o T2 T3
Address bus
External address in area n
CS n
AS
RD
Read access
D15 to D8
Valid
D 7 to D 0 HWR
Valid
LWR Write access D15 to D8 Valid
D 7 to D 0
Valid
Note: n = 7 to 0
Figure 6-8 Bus Control Signal Timing for 16-Bit, Three-State-Access Area (3) (Word Access)
129
16-Bit, Two-State-Access Areas: Figures 6-9 to 6-11 show the timing of bus control signals for a 16-bit, two-state-access area. In these areas, the upper address bus (D15 to D8) is used to access even addresses and the lower address bus (D7 to D0) is used to access odd addresses. Wait states cannot be inserted.
Bus cycle T1 o T2
Address bus
Even external address in area n
CS n
AS
RD
Read access
D15 to D8
Valid
D 7 to D 0 HWR
Invalid
LWR Write access D15 to D8
High
Valid
D 7 to D 0
Undetermined data
Note: n = 7 to 0
Figure 6-9 Bus Control Signal Timing for 16-Bit, Two-State-Access Area (1) (Byte Access to Even Address)
130
Bus cycle T1 o T2
Address bus
Odd external address in area n
CS n
AS
RD
Read access
D15 to D8
Invalid
D 7 to D 0 HWR High
Valid
LWR Write access D15 to D8 Undetermined data
D 7 to D 0
Valid
Note: n = 7 to 0
Figure 6-10 Bus Control Signal Timing for 16-Bit, Two-State-Access Area (2) (Byte Access to Odd Address)
131
Bus cycle T1 o T2
Address bus
External address in area n
CS n
AS
RD
Read access
D15 to D8
Valid
D 7 to D 0 HWR
Valid
LWR Write access D15 to D8 Valid
D 7 to D 0
Valid
Note: n = 7 to 0
Figure 6-11 Bus Control Signal Timing for 16-Bit, Two-State-Access Area (3) (Word Access)
132
6.3.5 Wait Modes Four wait modes can be selected as shown in table 6-5. Table 6-5 Wait Mode Selection
ASTCR WCER WCR Wait Mode No wait states Pin wait mode 0 Programmable wait mode No wait states Pin wait mode 1 Pin auto-wait mode
ASTn Bit WCEn Bit WMS1 Bit WMS0 Bit WSC Control 0 1 -- 0 1 -- -- 0 -- -- 0 1 1 0 1 Note: n = 7 to 0 Disabled Disabled Enabled Enabled Enabled Enabled
133
Wait Mode in Areas Where Wait-State Controller is Disabled External three-state access areas in which the wait-state controller is disabled (ASTn = 1, WCEn = 0) operate in pin wait mode 0. The other wait modes are unavailable. The settings of bits WMS1 and WMS0 are ignored in these areas. Pin Wait Mode 0: Wait states can only be inserted by WAIT pin control. During access to an external three-state-access area, if the WAIT pin is low at the fall of the system clock (o) in the T2 state, a wait state (TW) is inserted. If the WAIT pin remains low, wait states continue to be inserted until the WAIT signal goes high. Figure 6-12 shows the timing.
Inserted by WAIT signal T1 o T2 TW TW T3
*
*
*
WAIT pin Address bus AS RD Read access Data bus HWR , LWR Write access Data bus Note: * Arrows indicate time of sampling of the WAIT pin. Write data Read data External address
Figure 6-12 Pin Wait Mode 0
134
Wait Modes in Areas Where Wait-State Controller is Enabled External three-state access areas in which the wait-state controller is enabled (ASTn = 1, WCEn = 1) can operate in pin wait mode 1, pin auto-wait mode, or programmable wait mode, as selected by bits WMS1 and WMS0. Bits WMS1 and WMS0 apply to all areas, so all areas in which the wait-state controller is enabled operate in the same wait mode. Pin Wait Mode 1: In all accesses to external three-state-access areas, the number of wait states (TW) selected by bits WC1 and WC0 are inserted. If the WAIT pin is low at the fall of the system clock (o) in the last of these wait states, an additional wait state is inserted. If the WAIT pin remains low, wait states continue to be inserted until the WAIT signal goes high. Pin wait mode 1 is useful for inserting four or more wait states, or for inserting different numbers of wait states for different external devices. If the wait count is 0, this mode operates in the same way as pin wait mode 0. Figure 6-13 shows the timing when the wait count is 1 (WC1 = 0, WC0 = 1) and one additional wait state is inserted by WAIT input.
Inserted by wait count T1 o T2 TW Inserted by WAIT signal TW T3
*
*
WAIT pin Address bus AS RD Read data Data bus HWR, LWR Write access Data bus Note: * Arrows indicate time of sampling of the WAIT pin. Write data External address
Read access
Figure 6-13 Pin Wait Mode 1
135
Pin Auto-Wait Mode: If the WAIT pin is low, the number of wait states (TW) selected by bits WC1 and WC0 are inserted. In pin auto-wait mode, if the WAIT pin is low at the fall of the system clock (o) in the T2 state, the number of wait states (TW) selected by bits WC1 and WC0 are inserted. No additional wait states are inserted even if the WAIT pin remains low. Pin auto-wait mode can be used for an easy interface to low-speed memory, simply by routing the chip select signal to the WAIT pin. Figure 6-14 shows the timing when the wait count is 1.
T1
T2
T3
T1
T2
TW
T3
o
*
*
WAIT
Address bus
External address
External address
AS
RD Read access Data bus Read data Read data
HWR , LWR Write access Data bus Write data Write data
Note: * Arrows indicate time of sampling of the WAIT pin.
Figure 6-14 Pin Auto-Wait Mode
136
Programmable Wait Mode: The number of wait states (TW) selected by bits WC1 and WC0 are inserted in all accesses to external three-state-access areas. Figure 6-15 shows the timing when the wait count is 1 (WC1 = 0, WC0 = 1).
T1 T2 TW T3
o
Address bus
External address
AS
RD Read access Data bus Read data
HWR, LWR Write access Data bus Write data
Figure 6-15 Programmable Wait Mode
137
Example of Wait State Control Settings: A reset initializes ASTCR and WCER to H'FF and WCR to H'F3, selecting programmable wait mode and three wait states for all areas. Software can select other wait modes for individual areas by modifying the ASTCR, WCER, and WCR settings. Figure 6-16 shows an example of wait mode settings.
Area 0 Area 1 Area 2 Area 3 Area 4 Area 5 Area 6 Area 7
3-state-access area, programmable wait mode (3 states inserted) 3-state-access area, programmable wait mode (3 states inserted) 3-state-access area, pin wait mode 0 3-state-access area, pin wait mode 0 2-state-access area, no wait states inserted 2-state-access area, no wait states inserted 2-state-access area, no wait states inserted 2-state-access area, no wait states inserted Bit: ASTCR H'0F: 7 0 6 0 5 0 4 0 3 1 2 1 1 1 0 1
WCER H'33:
0
0
1
1
0
0
1
1
WCR H'F3:
--
--
--
--
0
0
1
1
Note: Wait states cannot be inserted in areas designated for two-state access by ASTCR.
Figure 6-16 Wait Mode Settings (Example)
138
6.3.6 Interconnections with Memory (Example) For each area, the bus controller can select two- or three-state access and an 8- or 16-bit data bus width. In three-state-access areas, wait states can be inserted in a variety of modes, simplifying the connection of both high-speed and low-speed devices. Figure 6-18 shows an example of interconnections between the H8/3048 Series and memory. Figure 6-17 shows a memory map for this example. A 256-kword x 16-bit EPROM is connected to area 0. This device is accessed in three states via a 16-bit bus. Two 32-kword x 8-bit SRAM devices (SRAM1 and SRAM2) are connected to area 1. These devices are accessed in two states via a 16-bit bus. One 32-kword x 8-bit SRAM (SRAM3) is connected to area 2. This device is accessed via an 8-bit bus, using three-state access with an additional wait state inserted in pin auto-wait mode.
H'000000 EPROM H'07FFFF Not used H'1FFFFF H'200000 SRAM 1, 2 H'20FFFF H'210000 Not used H'3FFFFF H'400000 SRAM 3 H'407FFF Area 2 8-bit, three-state-access area (one auto-wait state) Not used H'5FFFFF Area 1 16-bit, two-state-access area Area 0 16-bit, three-state-access area
On-chip RAM H'FFFFFF On-chip registers
Figure 6-17 Memory Map (Example)
139
EPROM A18 to A 1 A 17 to A 0 I/O 15 to I/O8 H8/3048 Series CS 0 CS 1 CS 2 SRAM1 (even addresses) A15 to A 1 A14 to A 0 I/O 7 to I/O 0 WAIT RD HWR LWR CS OE WE I/O 7 to I/O 0 CE OE
SRAM2 (odd addresses) A15 to A 1 A 14 to A 0 I/O 7 to I/O 0 CS OE WE
A 23 to A 0
D15 to D 8 D 7 to D 0 SRAM3 A14 to A 0 A 14 to A 0 I/O 7 to I/O 0 CS OE WE
Figure 6-18 Interconnections with Memory (Example)
140
6.3.7 Bus Arbiter Operation The bus controller has a built-in bus arbiter that arbitrates between different bus masters. There are four bus masters: the CPU, DMA controller (DMAC), refresh controller, and an external bus master. When a bus master has the bus right it can carry out read, write, or refresh access. Each bus master uses a bus request signal to request the bus right. At fixed times the bus arbiter determines priority and uses a bus acknowledge signal to grant the bus to a bus master, which can then operate using the bus. The bus arbiter checks whether the bus request signal from a bus master is active or inactive, and returns an acknowledge signal to the bus master if the bus request signal is active. When two or more bus masters request the bus, the highest-priority bus master receives an acknowledge signal. The bus master that receives an acknowledge signal can continue to use the bus until the acknowledge signal is deactivated. The bus master priority order is: (High) External bus master > refresh controller > DMAC > CPU (Low)
The bus arbiter samples the bus request signals and determines priority at all times, but it does not always grant the bus immediately, even when it receives a bus request from a bus master with higher priority than the current bus master. Each bus master has certain times at which it can release the bus to a higher-priority bus master. CPU: The CPU is the lowest-priority bus master. If the DMAC, refresh controller, or an external bus master requests the bus while the CPU has the bus right, the bus arbiter transfers the bus right to the bus master that requested it. The bus right is transferred at the following times: * The bus right is transferred at the boundary of a bus cycle. If word data is accessed by two consecutive byte accesses, however, the bus right is not transferred between the two byte accesses. If another bus master requests the bus while the CPU is performing internal operations, such as executing a multiply or divide instruction, the bus right is transferred immediately. The CPU continues its internal operations. If another bus master requests the bus while the CPU is in sleep mode, the bus right is transferred immediately.
*
*
141
DMAC: When the DMAC receives an activation request, it requests the bus right from the bus arbiter. If the DMAC is bus master and the refresh controller or an external bus master requests the bus, the bus arbiter transfers the bus right from the DMAC to the bus master that requested the bus. The bus right is transferred at the following times. The bus right is transferred when the DMAC finishes transferring 1 byte or 1 word. A DMAC transfer cycle consists of a read cycle and a write cycle. The bus right is not transferred between the read cycle and the write cycle. There is a priority order among the DMAC channels. For details see section 8.4.9, MultipleChannel Operation. Refresh Controller: When a refresh cycle is requested, the refresh controller requests the bus right from the bus arbiter. When the refresh cycle is completed, the refresh controller releases the bus. For details see section 7, Refresh Controller. External Bus Master: When the BRLE bit is set to 1 in BRCR, the bus can be released to an external bus master. The external bus master has highest priority, and requests the bus right from the bus arbiter by driving the BREQ signal low. Once the external bus master gets the bus, it keeps the bus right until the BREQ signal goes high. While the bus is released to an external bus master, the H8/3048 Series holds the address bus and data bus control signals (AS, RD, HWR, and LWR) in the high-impedance state, holds the chip select signals high (CSn: n = 7 to 0), and holds the BACK pin in the low output state. The bus arbiter samples the BREQ pin at the rise of the system clock (o). If BREQ is low, the bus is released to the external bus master at the appropriate opportunity. The BREQ signal should be held low until the BACK signal goes low. When the BREQ pin is high in two consecutive samples, the BACK signal is driven high to end the bus-release cycle.
142
Figure 6-19 shows the timing when the bus right is requested by an external bus master during a read cycle in a two-state-access area. There is a minimum interval of two states from when the BREQ signal goes low until the bus is released.
CPU cycles T1 o T2
External bus released
CPU cycles
Address bus CSn
High-impedance Address High level
High-impedance Data bus High-impedance
AS , RD
High
HWR , LWR
High-impedance
BREQ
BACK Minimum 2 cycles 1 n = 7 to 0 1 2 3 4, 5 6 Low BREQ signal is sampled at rise of T1 state. BACK signal goes low at end of CPU read cycle, releasing bus right to external bus master. BREQ pin continues to be sampled while bus is released to external bus master. High BREQ signal is sampled twice consecutively. BREQ signal goes high, ending bus-release cycle. 2 3 4 5 6
Figure 6-19 External-Bus-Released State (Two-State-Access Area, During Read Cycle)
143
6.4 Usage Notes
6.4.1 Connection to Dynamic RAM and Pseudo-Static RAM A different bus control signal timing applies when dynamic RAM or pseudo-static RAM is connected to area 3. For details see section 7, Refresh Controller. 6.4.2 Register Write Timing ABWCR, ASTCR, and WCER Write Timing: Data written to ABWCR, ASTCR, or WCER takes effect starting from the next bus cycle. Figure 6-20 shows the timing when an instruction fetched from area 0 changes area 0 from three-state access to two-state access.
T1 o Address bus 3-state access to area 0 ASTCR address T2 T3 T1 T2 T3 T1 T2
2-state access to area 0
Figure 6-20 ASTCR Write Timing
144
DDR Write Timing: Data written to a data direction register (DDR) to change a CSn pin from CSn output to generic input, or vice versa, takes effect starting from the T3 state of the DDR write cycle. Figure 6-21 shows the timing when the CS1 pin is changed from generic input to CS1 output.
T1 o Address bus CS1
T2
T3
P8DDR address
High impedance
Figure 6-21 DDR Write Timing BRCR Write Timing: Data written to switch between A23, A22, or A21 output and generic input or output takes effect starting from the T3 state of the BRCR write cycle. Figure 6-22 shows the timing when a pin is changed from generic input to A23, A22, or A21 output.
T1 o Address bus A 23 to A 21
T2
T3
BRCR address
High impedance
Figure 6-22 BRCR Write Timing
145
6.4.3 BREQ Input Timing After driving the BREQ pin low, hold it low until BACK goes low. If BREQ returns to the high level before BACK goes low, the bus arbiter may operate incorrectly. To terminate the external-bus-released state, hold the BREQ signal high for at least three states. If BREQ is high for too short an interval, the bus arbiter may operate incorrectly. 6.4.4 Transition To Software Standby Mode If contention occurs between a transition to software standby mode and a bus request from an external bus master, the bus may be released for one state just before the transition to software standby mode (see figure 6-23). When using software standby mode, clear the BRLE bit to 0 in BRCR before executing the SLEEP instruction.
Bus-released state o
Software standby mode
BREQ
BACK
Address bus
Strobe
Figure 6-23 Contention between Bus-Released State and Software Standby Mode
146
Section 7 Refresh Controller
7.1 Overview
The H8/3048 Series has an on-chip refresh controller that enables direct connection of 16-bit-wide DRAM or pseudo-static RAM (PSRAM). DRAM or pseudo-static RAM can be directly connected to area 3 of the external address space. A maximum 128 kbytes can be connected in modes 1, 2 and 5 (1-Mbyte modes). A maximum 2 Mbytes can be connected in modes 3, 4, and 6 (16-Mbyte modes). Systems that do not need to refresh DRAM or pseudo-static RAM can use the refresh controller as an 8-bit interval timer. When the refresh controller is not used, it can be independently halted to conserve power. For details see section 20.6, Module Standby Function. 7.1.1 Features The refresh controller can be used for one of three functions: DRAM refresh control, pseudo-static RAM refresh control, or 8-bit interval timing. Features of the refresh controller are listed below. Features as a DRAM Refresh Controller * * * Enables direct connection of 16-bit-wide DRAM Selection of 2CAS or 2WE mode Selection of 8-bit or 9-bit column address multiplexing for DRAM address input Examples: -- 1-Mbit DRAM: 8-bit row address x 8-bit column address -- 4-Mbit DRAM: 9-bit row address x 9-bit column address -- 4-Mbit DRAM: 10-bit row address x 8-bit column address * * * * CAS-before-RAS refresh control Software-selectable refresh interval Software-selectable self-refresh mode Wait states can be inserted
Features as a Pseudo-Static RAM Refresh Controller * * * * RFSH signal output for refresh control Software-selectable refresh interval Software-selectable self-refresh mode Wait states can be inserted
147
Features as an Interval Timer * * * Refresh timer counter (RTCNT) can be used as an 8-bit up-counter Selection of seven counter clock sources: o/2, o/8, o/32, o/128, o/512, o/2048, o/4096 Interrupts can be generated by compare match between RTCNT and the refresh time constant register (RTCOR)
7.1.2 Block Diagram Figure 7-1 shows a block diagram of the refresh controller.
o/2, o/8, o/32, o/128, o/512, o/2048, o/4096
Refresh signal
Clock selector Control logic Comparator CMI interrupt
RTMCSR
RFSHCR
RTCOR
RTCNT
Module data bus
Legend RTCNT: RTCOR: RTMCSR: RFSHCR:
Refresh timer counter Refresh time constant register Refresh timer control/status register Refresh control register
Figure 7-1 Block Diagram of Refresh Controller
148
Internal data bus
Bus interface
7.1.3 Input/Output Pins Table 7-1 summarizes the refresh controller's input/output pins. Table 7-1 Refresh Controller Pins
Signal Pin RFSH HWR LWR RD CS3 Name Refresh Upper write/upper column address strobe Lower write/lower column address strobe Column address strobe/ write enable Row address strobe Abbr. RFSH UW/UCAS LW/LCAS CAS/WE RAS I/O Output Output Output Output Output Function Goes low during refresh cycles; used to refresh DRAM and PSRAM Connects to the UW pin of 2WE DRAM or UCAS pin of 2CAS DRAM Connects to the LW pin of 2WE DRAM or LCAS pin of 2CAS DRAM Connects to the CAS pin of 2WE DRAM or WE pin of 2CAS DRAM Connects to the RAS pin of DRAM
7.1.4 Register Configuration Table 7-2 summarizes the refresh controller's registers. Table 7-2 Refresh Controller Registers
Address* H'FFAC H'FFAD H'FFAE H'FFAF Name Refresh control register Refresh timer control/status register Refresh timer counter Refresh time constant register Abbreviation RFSHCR RTMCSR RTCNT RTCOR R/W R/W R/W R/W R/W Initial Value H'02 H'07 H'00 H'FF
Note: * Lower 16 bits of the address.
149
7.2 Register Descriptions
7.2.1 Refresh Control Register (RFSHCR) RFSHCR is an 8-bit readable/writable register that selects the operating mode of the refresh controller.
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 RFSHE 0 R/W 1 -- 1 -- 0 RCYCE 0 R/W
SRFMD PSRAME DRAME CAS/WE M9/M8
Refresh cycle enable Enables or disables insertion of refresh cycles Reserved bit Refresh pin enable Enables refresh signal output from the refresh pin Address multiplex mode select Selects the number of column address bits Strobe mode select Selects 2CAS or 2WE strobing of DRAM
PSRAM enable and DRAM enable These bits enable or disable connection of pseudo-static RAM and DRAM Self-refresh mode Selects self-refresh mode
RFSHCR is initialized to H'02 by a reset and in hardware standby mode.
150
Bit 7--Self-Refresh Mode (SRFMD): Specifies DRAM or pseudo-static RAM self-refresh during software standby mode. When PSRAME = 1 and DRAME = 0, after the SRFMD bit is set to 1, pseudo-static RAM can be self-refreshed when the H8/3048 Series enters software standby mode. When PSRAME = 0 and DRAME = 1, after the SRFMD bit is set to 1, DRAM can be selfrefreshed when the H8/3048 Series enters software standby mode. In either case, the normal access state resumes on exit from software standby mode.
Bit 7 SRFMD Description 0 1 DRAM or PSRAM self-refresh is disabled in software standby mode DRAM or PSRAM self-refresh is enabled in software standby mode (Initial value)
Bit 6--PSRAM Enable (PSRAME) and Bit 5--DRAM Enable (DRAME): These bits enable or disable connection of pseudo-static RAM and DRAM to area 3 of the external address space. When DRAM or pseudo-static RAM is connected, the bus cycle and refresh cycle of area 3 consist of three states, regardless of the setting in the access state control register (ASTCR). If AST3 = 0 in ASTCR, wait states cannot be inserted. When the PSRAME or DRAME bit is set to 1, bits 0, 2, 3, and 4 in RFSHCR and registers RTMCSR, RTCNT, and RTCOR are write-disabled, except that the CMF flag in RTMCSR can be cleared by writing 0.
Bit 6 PSRAME 0 Bit 5 DRAME 0 1 1 0 1 Description Can be used as an interval timer (DRAM and PSRAM cannot be directly connected) DRAM can be directly connected PSRAM can be directly connected Illegal setting (Initial value)
151
Bit 4--Strobe Mode Select (CAS/WE): Selects 2CAS or 2WE mode. The setting of this bit is valid when PSRAME = 0 and DRAME = 1. This bit is write-disabled when the PSRAME or DRAME bit is set to 1.
Bit 4 CAS/WE 0 1 Description 2WE mode 2CAS mode (Initial value)
Bit 3--Address Multiplex Mode Select (M9/M8): Selects 8-bit or 9-bit column addressing. The setting of this bit is valid when PSRAME = 0 and DRAME = 1. This bit is write-disabled when the PSRAME or DRAME bit is set to 1.
Bit 3 M9/M8 0 1 Description 8-bit column address mode 9-bit column address mode (Initial value)
Bit 2--Refresh Pin Enable (RFSHE): Enables or disables refresh signal output from the RFSH pin. This bit is write-disabled when the PSRAME or DRAME bit is set to 1.
Bit 2 RFSHE 0 1 Description Refresh signal output at the RFSH pin is disabled (the RFSH pin can be used as a generic input/output port) Refresh signal output at the RFSH pin is enabled (Initial value)
Bit 1--Reserved: Read-only bit, always read as 1. Bit 0--Refresh Cycle Enable (RCYCE): Enables or disables insertion of refresh cycles. The setting of this bit is valid when PSRAME = 1 or DRAME = 1. When PSRAME = 0 and DRAME = 0, refresh cycles are not inserted regardless of the setting of this bit.
Bit 0 RCYCE Description 0 1 Refresh cycles are disabled Refresh cycles are enabled for area 3 (Initial value)
152
7.2.2 Refresh Timer Control/Status Register (RTMCSR) RTMCSR is an 8-bit readable/writable register that selects the clock source for RTCNT. It also enables or disables interrupt requests when the refresh controller is used as an interval timer.
Bit Initial value Read/Write 7 CMF 0 R/(W)* 6 CMIE 0 R/W 5 CKS2 0 R/W 4 CKS1 0 R/W 3 CKS0 0 R/W 2 -- 1 -- 1 -- 1 -- Reserved bits 0 -- 1 --
Clock select 2 to 0 These bits select an internal clock source for input to RTCNT
Compare match interrupt enable Enables or disables the CMI interrupt requested by CMF Compare match flag Status flag indicating that RTCNT has matched RTCOR Note: * Only 0 can be written, to clear the flag.
Bits 7 and 6 are initialized by a reset and in standby mode. Bits 5 to 3 are initialized by a reset and in hardware standby mode, but retain their previous values on transition to software standby mode. Bit 7--Compare Match Flag (CMF): This status flag indicates that the RTCNT and RTCOR values have matched.
Bit 7 CMF 0 1 Description [Clearing condition] Cleared by reading CMF when CMF = 1, then writing 0 in CMF [Setting condition] When RTCNT = RTCOR
153
Bit 6--Compare Match Interrupt Enable (CMIE): Enables or disables the CMI interrupt requested when the CMF flag is set to 1 in RTMCSR. The CMIE bit is always cleared to 0 when PSRAME = 1 or DRAME = 1.
Bit 6 CMIE 0 1 Description The CMI interrupt requested by CMF is disabled The CMI interrupt requested by CMF is enabled (Initial value)
Bits 5 to 3--Clock Select 2 to 0 (CKS2 to CKS0): These bits select an internal clock source for input to RTCNT. When used for refresh control, the refresh controller outputs a refresh request at periodic intervals determined by compare match between RTCNT and RTCOR. When used as an interval timer, the refresh controller generates CMI interrupts at periodic intervals determined by compare match. These bits are write-disabled when the PSRAME bit or DRAME bit is set to 1.
Bit 5 CKS2 0 Bit 4 CKS1 0 Bit 3 CKS0 0 1 1 0 1 1 0 0 1 1 0 1 Description Clock input is disabled o/2 clock source o/8 clock source o/32 clock source o/128 clock source o/512 clock source o/2048 clock source o/4096 clock source (Initial value)
Bits 2 to 0--Reserved: Read-only bits, always read as 1.
154
7.2.3 Refresh Timer Counter (RTCNT) RTCNT is an 8-bit readable/writable up-counter.
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
RTCNT is an up-counter that is incremented by an internal clock selected by bits CKS2 to CKS0 in RTMCSR. When RTCNT matches RTCOR (compare match), the CMF flag is set to 1 and RTCNT is cleared to H'00. RTCNT is write-disabled when the PSRAME bit or DRAME bit is set to 1. RTCNT is initialized to H'00 by a reset and in standby mode. 7.2.4 Refresh Time Constant Register (RTCOR) RTCOR is an 8-bit readable/writable register that determines the interval at which RTCNT is compare matched.
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W 2 1 R/W 1 1 R/W 0 1 R/W
RTCOR and RTCNT are constantly compared. When their values match, the CMF flag is set to 1 in RTMCSR, and RTCNT is simultaneously cleared to H'00. RTCOR is write-disabled when the PSRAME bit or DRAME bit is set to 1. RTCOR is initialized to H'FF by a reset and in hardware standby mode. In software standby mode it retains its previous value.
155
7.3 Operation
7.3.1 Overview One of three functions can be selected for the H8/3048 Series refresh controller: interfacing to DRAM connected to area 3, interfacing to pseudo-static RAM connected to area 3, or interval timing. Table 7-3 summarizes the register settings when these three functions are used. Table 7-3 Refresh Controller Settings
Usage Register Settings RFSHCR SRFMD PSRAME DRAME CAS/WE M9/M8 RFSHE RCYCE RTCOR RTMCSR CKS2 to CKS0 CMF CMIE P8DDR ABWCR P81DDR ABW3 Set to 1 when RTCNT = RTCOR Cleared to 0 Set to 1 (CS3 output) Cleared to 0 -- Enables or disables interrupt requests Set to 0 or 1 -- DRAM Interface PSRAM Interface Interval Timer Cleared to 0 Cleared to 0 Cleared to 0 -- -- Cleared to 0 -- Interrupt interval setting
Selects self-refresh mode Cleared to 0 Set to 1 Selects 2CAS or 2WE mode Selects column addressing mode Set to 1 Cleared to 0 -- --
Selects RFSH signal output Selects insertion of refresh cycles Refresh interval setting
DRAM Interface: To set up area 3 for connection to 16-bit-wide DRAM, initialize RTCOR, RTMCSR, and RFSHCR in that order, clearing bit PSRAME to 0 and setting bit DRAME to 1. Set bit P81DDR to 1 in the port 8 data direction register (P8DDR) to enable CS3 output. In ABWCR, make area 3 a 16-bit-access area. Pseudo-Static RAM Interface: To set up area 3 for connection to pseudo-static RAM, initialize RTCOR, RTMCSR, and RFSHCR in that order, setting bit PSRAME to 1 and clearing bit DRAME to 0. Set bit P81DDR to 1 in P8DDR to enable CS3 output.
156
Interval Timer: When PSRAME = 0 and DRAME = 0, the refresh controller operates as an interval timer. After setting RTCOR, select an input clock in RTMCSR and set the CMIE bit to 1. CMI interrupts will be requested at compare match intervals determined by RTCOR and bits CKS2 to CKS0 in RTMCSR. When setting RTCOR, RTMCSR, and RFSHCR, make sure that PSRAME = 0 and DRAME = 0. Writing is disabled when either of these bits is set to 1. 7.3.2 DRAM Refresh Control Refresh Request Interval and Refresh Cycle Execution: The refresh request interval is determined by the settings of RTCOR and bits CKS2 to CKS0 in RTMCSR. Figure 7-2 illustrates the refresh request interval.
RTCOR RTCNT
H'00 Refresh request
Figure 7-2 Refresh Request Interval (RCYCE = 1) Refresh requests are generated at regular intervals as shown in figure 7-2, but the refresh cycle is not actually executed until the refresh controller gets the bus right. Table 7-4 summarizes the relationship among area 3 settings, DRAM read/write cycles, and refresh cycles.
157
Table 7-4 Area 3 Settings, DRAM Access Cycles, and Refresh Cycles
Area 3 Settings 2-state-access area (AST3 = 0) 3-state-access area (AST3 = 1) Read/Write Cycle by CPU or DMAC * 3 states * Wait states cannot be inserted * 3 states * Wait states can be inserted Refresh Cycle * 3 states * Wait states cannot be inserted * 3 states * Wait states can be inserted
To insert refresh cycles, set the RCYCE bit to 1 in RFSHCR. Figure 7-3 shows the state transitions for execution of refresh cycles. When the first refresh request occurs after exit from the reset state or standby mode, the refresh controller does not execute a refresh cycle, but goes into the refresh request pending state. Note this point when using a DRAM that requires a refresh cycle for initialization. When a refresh request occurs in the refresh request pending state, the refresh controller acquires the bus right, then executes a refresh cycle. If another refresh request occurs during execution of the refresh cycle, it is ignored.
Exit from reset or standby mode
Refresh request Refresh request pending state End of refresh cycle*
Refresh request Refresh request* Requesting bus right
Bus granted Refresh request*
Executing refresh cycle
Note: * A refresh request is ignored if it occurs while the refresh controller is requesting the bus right or executing a refresh cycle.
Figure 7-3 State Transitions for Refresh Cycle Execution
158
Address Multiplexing: Address multiplexing depends on the setting of the M9/M8 bit in RFSHCR, as described in table 7-5. Figure 7-4 shows the address output timing. Address output is multiplexed only in area 3. Table 7-5 Address Multiplexing
Address Pins Address signals during row address output A23 to A10 A9 A23 to A10 A9 A9 A8 A8 A9 A7 A7 A6 A6 A5 A5 A4 A4 A3 A3 A2 A2 A1 A1 A0 A0
Address signals during M9/M8 = 0 A23 to A10 column address output M9/M8 = 1 A23 to A10
A16 A15 A14 A13 A12 A11 A10 A0
A18 A17 A16 A15 A14 A13 A12 A11 A10 A0
T1 o
T2
T3
A 23 to A 9 , A 0 Address bus A 8 to A 1 A 8 to A1 Row address a. M9/ M8 = 0
A 23 to A 9, A 0
A 16 to A 9 Column address
T1 o
T2
T3
A 23 to A10 , A 0 Address bus A 9 to A 1 A 9 to A1 Row address b. M9/ M8 = 1
A 23 to A10 , A 0
A 18 to A 10 Column address
Figure 7-4 Multiplexed Address Output (Example without Wait States)
159
2CAS and 2WE Modes: The CAS/WE bit in RFSHCR can select two control modes for 16-bitwide DRAM: one using UCAS and LCAS; the other using UW and LW. These DRAM pins correspond to H8/3048 Series pins as shown in table 7-6. Table 7-6 DRAM Pins and H8/3048 Series Pins
DRAM Pin H8/3048 Series Pin HWR LWR RD CS3 CAS/WE = 0 (2WE Mode) UW LW CAS RAS CAS/WE = 1 (2CAS Mode) UCAS LCAS WE RAS
Figure 7-5 (1) shows the interface timing for 2WE DRAM. Figure 7-5 (2) shows the interface timing for 2CAS DRAM.
Read cycle
Write cycle*
Refresh cycle
o Address bus CS 3 (RAS ) RD (CAS ) HWR (UW ) LWR (LW ) RFSH AS Note: * 16-bit access
Row
Column
Row
Column
Area 3 top address
Figure 7-5 DRAM Control Signal Output Timing (1) (2WE Mode)
160
Read cycle
Write cycle*
Refresh cycle
o Address bus CS3 (RAS ) HWR (UCAS ) LWR (LCAS ) RD (WE ) RFSH AS Note: * 16-bit access
Row
Column
Row
Column
Area 3 top address
Figure 7-5 DRAM Control Signal Output Timing (2) (2CAS Mode) Refresh Cycle Priority Order: When there are simultaneous bus requests, the priority order is: (High) External bus master > refresh controller > DMA controller > CPU (Low)
For details see section 6.3.7, Bus Arbiter Operation. Wait State Insertion: When bit AST3 is set to 1 in ASTCR, bus controller settings can cause wait states to be inserted into bus cycles and refresh cycles. For details see section 6.3.5, Wait Modes.
161
Self-Refresh Mode: Some DRAM devices have a self-refresh function. After the SRFMD bit is set to 1 in RFSHCR, when a transition to software standby mode occurs, the CAS and RAS outputs go low in that order so that the DRAM self-refresh function can be used. On exit from software standby mode, the CAS and RAS outputs both go high. Table 7-7 shows the pin states in software standby mode. Figure 7-6 shows the signal output timing. Table 7-7 Pin States in Software Standby Mode (1) (PSRAME = 0, DRAME = 1)
Software Standby Mode SRFMD = 0 Signal HWR LWR RD CS3 RFSH CAS/WE = 0 High-impedance High-impedance High-impedance High High CAS/WE = 1 High-impedance High-impedance High-impedance High High SRFMD = 1 (self-refresh mode) CAS/WE = 0 High High Low Low Low CAS/WE = 1 Low Low High Low Low
162
Software standby mode o Address bus CS 3 (RAS) RD (CAS) High-impedance
Oscillator settling time
HWR (UW)
High
LWR (LW)
High
RFSH a. 2 WE mode (SRFMD = 1) Software standby mode o Address bus CS 3 (RAS) HWR (UCAS) LWR (LCAS) RD (WE) High-impedance Oscillator settling time
RFSH b. 2 CAS mode (SRFMD = 1)
Figure 7-6 Signal Output Timing in Self-Refresh Mode (PSRAME = 0, DRAME = 1)
163
Operation in Power-Down State: The refresh controller operates in sleep mode. It does not operate in hardware standby mode. In software standby mode RTCNT is initialized, but RFSHCR, RTMCSR bits 5 to 3, and RTCOR retain their settings prior to the transition to software standby mode. Example 1: Connection to 2WE 1-Mbit DRAM (1-Mbyte Mode): Figure 7-7 shows typical interconnections to a 2WE 1-Mbit DRAM, and the corresponding address map. Figure 7-8 shows a setup procedure to be followed by a program for this example. After power-up the DRAM must be refreshed to initialize its internal state. Initialization takes a certain length of time, which can be measured by using an interrupt from another timer module, or by counting the number of times RTMCSR bit 7 (CMF) is set. Note that no refresh cycle is executed for the first refresh request after exit from the reset state or standby mode (the first time the CMF flag is set; see figure 7-3). When using this example, check the DRAM device characteristics carefully and use a procedure that fits them.
2 WE 1-Mbit DRAM with x 16-bit organization H8/3048 Series A8 A7 A6 A5 A4 A3 A2 A1 CS 3 RD HWR LWR D15 to D 0 a. Interconnections (example) A7 A6 A5 A4 A3 A2 A1 A0 RAS CAS UW LW OE I/O 15 to I/O 0
H'60000 DRAM area H'7FFFF b. Address map Area 3 (1-Mbyte mode)
Figure 7-7 Interconnections and Address Map for 2WE 1-Mbit DRAM (Example)
164
Set area 3 for 16-bit access
Set P81 DDR to 1 for CS3 output
Set RTCOR
Set bits CKS2 to CKS0 in RTMCSR
Write H'23 in RFSHCR
Wait for DRAM to be initialized
DRAM can be accessed
Figure 7-8 Setup Procedure for 2WE 1-Mbit DRAM (1-Mbyte Mode)
165
Example 2: Connection to 2WE 4-Mbit DRAM (16-Mbyte Mode): Figure 7-9 shows typical interconnections to a single 2WE 4-Mbit DRAM, and the corresponding address map. Figure 7-10 shows a setup procedure to be followed by a program for this example. The DRAM in this example has 10-bit row addresses and 8-bit column addresses. Its address area is H'600000 to H'67FFFF.
2 WE 4-Mbit DRAM with 10-bit row address, 8-bit column address, and x 16-bit organization H8/3048 Series
A18 A17 A8 A7 A6 A5 A4 A3 A2 A1 CS 3 RD HWR LWR
A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 RAS CAS UW LW OE I/O 15 to I/O 0
D15 to D 0
a. Interconnections (example)
H'600000 DRAM area H'67FFFF H'680000 Area 3 (16-Mbyte mode) Not used
H'7FFFFF b. Address map
Figure 7-9 Interconnections and Address Map for 2WE 4-Mbit DRAM (Example)
166
Set area 3 for 16-bit access
Set P81 DDR to 1 for CS3 output
Set RTCOR
Set bits CKS2 to CKS0 in RTMCSR
Write H'23 in RFSHCR
Wait for DRAM to be initialized
DRAM can be accessed
Figure 7-10 Setup Procedure for 2WE 4-Mbit DRAM with 10-Bit Row Address and 8-Bit Column Address (16-Mbyte Mode)
167
Example 3: Connection to 2CAS 4-Mbit DRAM (16-Mbyte Mode): Figure 7-11 shows typical interconnections to a single 2CAS 4-Mbit DRAM, and the corresponding address map. Figure 7-12 shows a setup procedure to be followed by a program for this example. The DRAM in this example has 9-bit row addresses and 9-bit column addresses. Its address area is H'600000 to H'67FFFF.
2 CAS 4-Mbit DRAM with 9-bit row address, 9-bit column address, and x 16-bit organization H8/3048 Series A9 A8 A7 A6 A5 A4 A3 A2 A1 CS 3 HWR LWR RD D15 to D 0 A8 A7 A6 A5 A4 A3 A2 A1 A0 RAS UCAS LCAS WE OE I/O 15 to I/O 0
a. Interconnections (example) H'600000 DRAM area H'67FFFF H'680000
Not used
Area 3 (16-Mbyte mode)
H'7FFFFF
b. Address map
Figure 7-11 Interconnections and Address Map for 2CAS 4-Mbit DRAM (Example)
168
Set area 3 for 16-bit access
Set P81 DDR to 1 for CS3 output
Set RTCOR
Set bits CKS2 to CKS0 in RTMCSR
Write H'3B in RFSHCR
Wait for DRAM to be initialized
DRAM can be accessed
Figure 7-12 Setup Procedure for 2CAS 4-Mbit DRAM with 9-Bit Row Address and 9-Bit Column Address (16-Mbyte Mode)
169
Example 4: Connection to Multiple 4-Mbit DRAM Chips (16-Mbyte Mode): Figure 7-13 shows an example of interconnections to two 2CAS 4-Mbit DRAM chips, and the corresponding address map. Up to four DRAM chips can be connected to area 3 by decoding upper address bits A19 and A20. Figure 7-14 shows a setup procedure to be followed by a program for this example. The DRAM in this example has 9-bit row addresses and 9-bit column addresses. Both chips must be refreshed simultaneously, so the RFSH pin must be used.
2 CAS 4-Mbit DRAM with 9-bit row address, 9-bit column address, and x 16-bit organization H8/3048 Series A19 A 9 to A 1 A 8 to A 0 RAS UCAS LCAS WE OE I/O15 to I/O 0
No. 1
A 8 to A 0 CS 3 HWR LWR RD RFSH D15 to D 0 a. Interconnections (example) H'600000 H'67FFFF H'680000 H'6FFFFF H'700000 No. 1 DRAM area No. 2 DRAM area Area 3 (16-Mbyte mode) Not used H'7FFFFF b. Address map RAS UCAS LCAS WE OE I/O15 to I/O 0 No. 2
Figure 7-13 Interconnections and Address Map for Multiple 2CAS 4-Mbit DRAM Chips (Example)
170
Set area 3 for 16-bit access
Set P81 DDR to 1 for CS 3 output
Set RTCOR
Set bits CKS2 to CKS0 in RTMCSR
Write H'3F in RFSHCR
Wait for DRAM to be initialized
DRAM can be accessed
Figure 7-14 Setup Procedure for Multiple 2CAS 4-Mbit DRAM Chips with 9-Bit Row Address and 9-Bit Column Address (16-Mbyte Mode)
171
7.3.3 Pseudo-Static RAM Refresh Control Refresh Request Interval and Refresh Cycle Execution: The refresh request interval is determined as in a DRAM interface, by the settings of RTCOR and bits CKS2 to CKS0 in RTMCSR. The numbers of states required for pseudo-static RAM read/write cycles and refresh cycles are the same as for DRAM (see table 7-4). The state transitions are as shown in figure 7-3. Pseudo-Static RAM Control Signals: Figure 7-15 shows the control signals for pseudo-static RAM read, write, and refresh cycles.
Read cycle o Address bus CS 3 RD HWR LWR RFSH AS
Write cycle *
Refresh cycle
Area 3 top address
Note: * 16-bit access
Figure 7-15 Pseudo-Static RAM Control Signal Output Timing
172
Refresh Cycle Priority Order: When there are simultaneous bus requests, the priority order is: (High) External bus master > refresh controller > DMA controller > CPU (Low)
For details see section 6.3.7, Bus Arbiter Operation. Wait State Insertion: When bit AST3 is set to 1 in ASTCR, the wait state controller (WSC) can insert wait states into bus cycles and refresh cycles. For details see section 6.3.5, Wait Modes. Self-Refresh Mode: Some pseudo-static RAM devices have a self-refresh function. After the SRFMD bit is set to 1 in RFSHCR, when a transition to software standby mode occurs, the H8/3048 Series' CS3 output goes high and its RFSH output goes low so that the pseudo-static RAM self-refresh function can be used. On exit from software standby mode, the RFSH output goes high. Table 7-8 shows the pin states in software standby mode. Figure 7-16 shows the signal output timing. Table 7-8 Pin States in Software Standby Mode (2) (PSRAME = 1, DRAME = 0)
Software Standby Mode Signal CS3 RD HWR LWR RFSH SRFMD = 0 High High-impedance High-impedance High-impedance High SRFMD = 1 (Self-Refresh Mode) High High-impedance High-impedance High-impedance Low
173
Software standby mode o Address bus CS 3 RD HWR LWR RFSH High High-impedance High-impedance High-impedance High-impedance
Oscillator settling time
Figure 7-16 Signal Output Timing in Self-Refresh Mode (PSRAME = 1, DRAME = 0) Operation in Power-Down State: The refresh controller operates in sleep mode. It does not operate in hardware standby mode. In software standby mode RTCNT is initialized, but RFSHCR, RTMCSR bits 5 to 3, and RTCOR retain their settings prior to the transition to software standby mode.
174
Example: Pseudo-static RAM may have separate OE and RFSH pins, or these may be combined into a single OE/RFSH pin. Figure 7-17 shows an example of a circuit for generating an OE/RFSH signal. Check the device characteristics carefully, and design a circuit that fits them. Figure 7-18 shows a setup procedure to be followed by a program.
H8/3048 Series
PSRAM
RD OE / RFSH RFSH
Figure 7-17 Interconnection to Pseudo-Static RAM with OE/RFSH Signal (Example)
175
Set P81 DDR to 1 for CS 3 output
Set RTCOR
Set bits CKS2 to CKS0 in RTMCSR
Write H'47 in RFSHCR
Wait for PSRAM to be initialized
PSRAM can be accessed
Figure 7-18 Setup Procedure for Pseudo-Static RAM
176
7.3.4 Interval Timing To use the refresh controller as an interval timer, clear the PSRAME and DRAME both to 0. After setting RTCOR, select a clock source with bits CKS2 to CKS0 in RTMCSR, and set the CMIE bit to 1. Timing of Setting of Compare Match Flag and Clearing by Compare Match: The CMF flag in RTCSR is set to 1 by a compare match signal output when the RTCOR and RTCNT values match. The compare match signal is generated in the last state in which the values match (when RTCNT is updated from the matching value to a new value). Accordingly, when RTCNT and RTCOR match, the compare match signal is not generated until the next counter clock pulse. Figure 7-19 shows the timing.
o
RTCNT
N
H'00
RTCOR Compare match signal CMF flag
N
Figure 7-19 Timing of Setting of CMF Flag Operation in Power-Down State: The interval timer function operates in sleep mode. It does not operate in hardware standby mode. In software standby mode RTCNT and RTMCSR bits 7 and 6 are initialized, but RTMCSR bits 5 to 3 and RTCOR retain their settings prior to the transition to software standby mode.
177
Contention between RTCNT Write and Counter Clear: If a counter clear signal occurs in the T3 state of an RTCNT write cycle, clearing of the counter takes priority and the write is not performed. See figure 7-20.
RTCNT write cycle by CPU T1 T2 T3
o
Address bus
RTCNT address
Internal write signal Counter clear signal
RTCNT
N
H'00
Figure 7-20 Contention between RTCNT Write and Clear
178
Contention between RTCNT Write and Increment: If an increment pulse occurs in the T3 state of an RTCNT write cycle, writing takes priority and RTCNT is not incremented. See figure 7-21.
RTCNT write cycle by CPU T1 T2 T3
o
Address bus
RTCNT address
Internal write signal RTCNT input clock
RTCNT
N
M
Counter write data
Figure 7-21 Contention between RTCNT Write and Increment
179
Contention between RTCOR Write and Compare Match: If a compare match occurs in the T3 state of an RTCOR write cycle, writing takes priority and the compare match signal is inhibited. See figure 7-22.
RTCOR write cycle by CPU T1 T2 T3
o
Address bus
RTCNT address
Internal write signal
RTCNT
N
N+1
RTCOR
N
M RTCOR write data
Compare match signal Inhibited
Figure 7-22 Contention between RTCOR Write and Compare Match RTCNT Operation at Internal Clock Source Switchover: Switching internal clock sources may cause RTCNT to increment, depending on the switchover timing. Table 7-9 shows the relation between the time of the switchover (by writing to bits CKS2 to CKS0) and the operation of RTCNT. The RTCNT input clock is generated from the internal clock source by detecting the falling edge of the internal clock. If a switchover is made from a high clock source to a low clock source, as in case No. 3 in table 7-9, the switchover will be regarded as a falling edge, an RTCNT clock pulse will be generated, and RTCNT will be incremented.
180
Table 7-9 Internal Clock Switchover and RTCNT Operation
No. 1 CKS2 to CKS0 Write Timing Low low switchover*1 Old clock source New clock source RTCNT clock RTCNT Operation
RTCNT
N CKS bits rewritten
N+1
2
Low high switchover*2 Old clock source New clock source RTCNT clock
RTCNT
N
N+1
N+2
CKS bits rewritten Notes: 1. Including switchovers from a low clock source to the halted state, and from the halted state to a low clock source. 2. Including switchover from the halted state to a high clock source.
181
Table 7-9 Internal Clock Switchover and RTCNT Operation (cont)
No. 3 CKS2 to CKS0 Write Timing High low switchover*1 Old clock source New clock source RTCNT clock
*2
RTCNT Operation
RTCNT
N
N+1 CKS bits rewritten
N+2
4
High high switchover Old clock source New clock source RTCNT clock
RTCNT
N
N+1
N+2 CKS bits rewritten
Notes: 1. Including switchover from a high clock source to the halted state. 2. The switchover is regarded as a falling edge, causing RTCNT to increment.
182
7.4 Interrupt Source
Compare match interrupts (CMI) can be generated when the refresh controller is used as an interval timer. Compare match interrupt requests are masked/unmasked with the CMIE bit of RTMCSR.
7.5 Usage Notes
When using the DRAM or pseudo-static RAM refresh function, note the following points: * With the refresh controller, if directly connected DRAM or PSRAM is disconnected*, the P80/RFSH/IRQ0 pin and the P81/CS3/IRQ1 pin may both become low-level outputs simultaneously.
Note: * When the DRAM enable bit (DRAME) or PSRAM enable bit (PSRAME) in the refresh control register (RFSHCR) is cleared to 0 after being set to 1.
Address bus P80/RFSH/IRQ0 P81/CS3/IRQ1
Area 3 start address
Figure 7-23 Operation when DRAM/PSRAM Connection is Switched * Refresh cycles are not executed while the bus is released, during software standby mode, and when a bus cycle is greatly prolonged by insertion of wait states. When these conditions occur, other means of refreshing are required. If refresh requests occur while the bus is released, the first request is held and one refresh cycle is executed after the bus-released state ends. Figure 7-24 shows the bus cycles in this case.
*
183
Bus-released state o RFSH Refresh request BACK
Refresh cycle
CPU cycle
Refresh cycle
Figure 7-24 Refresh Cycles when Bus is Released * If a bus cycle is prolonged by insertion of wait states, the first refresh request is held, as in the bus-released state. If there is contention with a bus request from an external bus master when making a transition to software standby mode, a one-state bus-released state may occur immediately before the transition to software standby mode (see figure 7-25). When using software standby mode, clear the BRLE bit to 0 in BRCR before executing the SLEEP instruction. When making a transition to self-refresh mode, the strobe waveform output may not be guaranteed due to the same kind of contention. This, too, can be prevented by clearing the BRLE bit to 0 in BRCR.
External bus released state o
*
Software standby mode
BREQ
BACK Address bus Strobe
Figure 7-25 Contention between Bus-Released State and Software Standby Mode
184
Section 8 DMA Controller
8.1 Overview
The H8/3048 Series has an on-chip DMA controller (DMAC) that can transfer data on up to four channels. When the DMA controller is not used, it can be independently halted to conserve power. For details see section 20.6, Module Standby Function. 8.1.1 Features DMAC features are listed below. * Selection of short address mode or full address mode Short address mode -- 8-bit source address and 24-bit destination address, or vice versa -- Maximum four channels available -- Selection of I/O mode, idle mode, or repeat mode Full address mode -- 24-bit source and destination addresses -- Maximum two channels available -- Selection of normal mode or block transfer mode * * * Directly addressable 16-Mbyte address space Selection of byte or word transfer Activation by internal interrupts, external requests, or auto-request (depending on transfer mode) -- 16-bit integrated timer unit (ITU) compare match/input capture interrupts (four) -- Serial communication interface (SCI channel 0) transmit-data-empty/receive-data-full interrupts -- External requests -- Auto-request
185
8.1.2 Block Diagram Figure 8-1 shows a DMAC block diagram.
Internal address bus
Internal interrupts
IMIA0 IMIA1 IMIA2 IMIA3 TXI0 RXI0 DREQ0 DREQ1 TEND0 TEND1 Control logic Channel 0
Address buffer Arithmetic-logic unit MAR0A Channel 0A IOAR0A Module data bus ETCR0A MAR0B Channel 0B DTCR0A DTCR0B DTCR1A DTCR1B Channel 1 Channel 1B Channel 1A IOAR0B ETCR0B MAR1A IOAR1A ETCR1A MAR1B IOAR1B ETCR1B
Interrupt DEND0A DEND0B signals DEND1A DEND1B
Data buffer
Internal data bus Legend DTCR: Data transfer control register MAR: Memory address register IOAR: I/O address register ETCR: Execute transfer count register
Figure 8-1 Block Diagram of DMAC
186
8.1.3 Functional Overview Table 8-1 gives an overview of the DMAC functions. Table 8-1 DMAC Functional Overview
Address Reg. Length Transfer Mode Short I/O mode address * Transfers one byte or one word mode per request * Increments or decrements the memory address by 1 or 2 * Executes 1 to 65,536 transfers Idle mode * Transfers one byte or one word per request * Holds the memory address fixed * Executes 1 to 65,536 transfers Repeat mode * Transfers one byte or one word per request * Increments or decrements the memory address by 1 or 2 * Executes a specified number (1 to 255) of transfers, then returns to the initial state and continues Full address mode Normal mode * Auto-request -- Retains the transfer request internally -- Executes a specified number (1 to 65,536) of transfers continuously -- Selection of burst mode or cycle-steal mode * External request -- Transfers one byte or one word per request -- Executes 1 to 65,536 transfers Block transfer * Transfers one block of a specified size per request * Executes 1 to 65,536 transfers * Allows either the source or destination to be a fixed block area * Block size can be 1 to 255 bytes or words Activation DestinaSource tion 8
* Compare match/input 24 capture A interrupts from ITU channels 0 to 3 * Transmit-data-empty interrupt from SCI channel 0 * Receive-data-full interrupt from SCI channel 0 * External request 8
24
24
8
* Auto-request * External request
24
24
* Compare match/ input capture A interrupts from ITU channels 0 to 3 * External request
24
24
187
8.1.4 Input/Output Pins Table 8-2 lists the DMAC pins. Table 8-2 DMAC Pins
Channel 0 Name DMA request 0 Transfer end 0 1 DMA request 1 Transfer end 1 Abbreviation DREQ0 TEND0 DREQ1 TEND1 Input/ Output Input Output Input Output Function External request for DMAC channel 0 Transfer end on DMAC channel 0 External request for DMAC channel 1 Transfer end on DMAC channel 1
Note: External requests cannot be made to channel A in short address mode.
8.1.5 Register Configuration Table 8-3 lists the DMAC registers.
188
Table 8-3 DMAC Registers
Channel 0 Address* H'FF20 H'FF21 H'FF22 H'FF23 H'FF26 H'FF24 H'FF25 H'FF27 H'FF28 H'FF29 H'FF2A H'FF2B H'FF2E H'FF2C H'FF2D H'FF2F 1 H'FF30 H'FF31 H'FF32 H'FF33 H'FF36 H'FF34 H'FF35 H'FF37 H'FF38 H'FF39 H'FF3A H'FF3B H'FF3E H'FF3C H'FF3D H'FF3F Name Memory address register 0AR Memory address register 0AE Memory address register 0AH Memory address register 0AL I/O address register 0A Execute transfer count register 0AH Execute transfer count register 0AL Data transfer control register 0A Memory address register 0BR Memory address register 0BE Memory address register 0BH Memory address register 0BL I/O address register 0B Execute transfer count register 0BH Execute transfer count register 0BL Data transfer control register 0B Memory address register 1AR Memory address register 1AE Memory address register 1AH Memory address register 1AL I/O address register 1A Execute transfer count register 1AH Execute transfer count register 1AL Data transfer control register 1A Memory address register 1BR Memory address register 1BE Memory address register 1BH Memory address register 1BL I/O address register 1B Execute transfer count register 1BH Execute transfer count register 1BL Data transfer control register 1B Abbreviation MAR0AR MAR0AE MAR0AH MAR0AL IOAR0A ETCR0AH ETCR0AL DTCR0A MAR0BR MAR0BE MAR0BH MAR0BL IOAR0B ETCR0BH ETCR0BL DTCR0B MAR1AR MAR1AE MAR1AH MAR1AL IOAR1A ETCR1AH ETCR1AL DTCR1A MAR1BR MAR1BE MAR1BH MAR1BL IOAR1B ETCR1BH ETCR1BL DTCR1B R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Initial Value Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined H'00 Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined H'00 Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined H'00 Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined Undetermined H'00
Note: * The lower 16 bits of the address are indicated.
189
8.2 Register Descriptions (Short Address Mode)
In short address mode, transfers can be carried out independently on channels A and B. Short address mode is selected by bits DTS2A and DTS1A in data transfer control register A (DTCRA) as indicated in table 8-4. Table 8-4 Selection of Short and Full Address Modes
Channel 0 Bit 2 DTS2A 1 Bit 1 DTS1A 1 Description DMAC channel 0 operates as one channel in full address mode DMAC channels 0A and 0B operate as two independent channels in short address mode DMAC channel 1 operates as one channel in full address mode DMAC channels 1A and 1B operate as two independent channels in short address mode
Other than above 1 1 1
Other than above
8.2.1 Memory Address Registers (MAR) A memory address register (MAR) is a 32-bit readable/writable register that specifies a source or destination address. The transfer direction is determined automatically from the activation source. An MAR consists of four 8-bit registers designated MARR, MARE, MARH, and MARL. All bits of MARR are reserved: they cannot be modified and are always read as 1.
Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial value Read/Write
1
1
1
1
1
1
1
1
Undetermined
-- -- -- -- -- -- -- -- R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W MARR MARE MARH MARL
Source or destination address
An MAR functions as a source or destination address register depending on how the DMAC is activated: as a destination address register if activation is by a receive-data-full interrupt from the serial communication interface (SCI) (channel 0), and as a source address register otherwise. The MAR value is incremented or decremented each time one byte or word is transferred, automatically updating the source or destination memory address. For details, see section 8.2.4, Data Transfer Control Registers (DTCR). The MARs are not initialized by a reset or in standby mode.
190
8.2.2 I/O Address Registers (IOAR) An I/O address register (IOAR) is an 8-bit readable/writable register that specifies a source or destination address. The IOAR value is the lower 8 bits of the address. The upper 16 address bits are all 1 (H'FFFF).
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
Source or destination address
An IOAR functions as a source or destination address register depending on how the DMAC is activated: as a source address register if activation is by a receive-data-full interrupt from the SCI (channel 0), and as a destination address register otherwise. The IOAR value is held fixed. It is not incremented or decremented when a transfer is executed. The IOARs are not initialized by a reset or in standby mode. 8.2.3 Execute Transfer Count Registers (ETCR) An execute transfer count register (ETCR) is a 16-bit readable/writable register that specifies the number of transfers to be executed. These registers function in one way in I/O mode and idle mode, and another way in repeat mode. * I/O mode and idle mode
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Transfer counter
In I/O mode and idle mode, ETCR functions as a 16-bit counter. The count is decremented by 1 each time one transfer is executed. The transfer ends when the count reaches H'0000.
191
*
Repeat mode
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCRH Transfer counter Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCRL Initial count
In repeat mode, ETCRH functions as an 8-bit transfer counter and ETCRL holds the initial transfer count. ETCRH is decremented by 1 each time one transfer is executed. When ETCRH reaches H'00, the value in ETCRL is reloaded into ETCRH and the same operation is repeated. The ETCRs are not initialized by a reset or in standby mode.
192
8.2.4 Data Transfer Control Registers (DTCR) A data transfer control register (DTCR) is an 8-bit readable/writable register that controls the operation of one DMAC channel.
Bit Initial value Read/Write 7 DTE 0 R/W 6 DTSZ 0 R/W 5 DTID 0 R/W 4 RPE 0 R/W 3 DTIE 0 R/W 2 DTS2 0 R/W 1 DTS1 0 R/W 0 DTS0 0 R/W
Data transfer enable Enables or disables data transfer Data transfer size Selects byte or word size Data transfer increment/decrement Selects whether to increment or decrement the memory address register Repeat enable Selects repeat mode
Data transfer select These bits select the data transfer activation source Data transfer interrupt enable Enables or disables the CPU interrupt at the end of the transfer
The DTCRs are initialized to H'00 by a reset and in standby mode. Bit 7--Data Transfer Enable (DTE): Enables or disables data transfer on a channel. When the DTE bit is set to 1, the channel waits for a transfer to be requested, and executes the transfer when activated as specified by bits DTS2 to DTS0. When DTE is 0, the channel is disabled and does not accept transfer requests. DTE is set to 1 by reading the register when DTE is 0, then writing 1.
Bit 7 DTE 0 1 Description Data transfer is disabled. In I/O mode or idle mode, DTE is cleared to 0 when the specified number of transfers have been completed. Data transfer is enabled (Initial value)
If DTIE is set to 1, a CPU interrupt is requested when DTE is cleared to 0.
193
Bit 6--Data Transfer Size (DTSZ): Selects the data size of each transfer.
Bit 6 DTSZ 0 1 Description Byte-size transfer Word-size transfer (Initial value)
Bit 5--Data Transfer Increment/Decrement (DTID): Selects whether to increment or decrement the memory address register (MAR) after a data transfer in I/O mode or repeat mode.
Bit 5 DTID 0 Description MAR is incremented after each data transfer * If DTSZ = 0, MAR is incremented by 1 after each transfer * If DTSZ = 1, MAR is incremented by 2 after each transfer 1 MAR is decremented after each data transfer * If DTSZ = 0, MAR is decremented by 1 after each transfer * If DTSZ = 1, MAR is decremented by 2 after each transfer
MAR is not incremented or decremented in idle mode. Bit 4--Repeat Enable (RPE): Selects whether to transfer data in I/O mode, idle mode, or repeat mode.
Bit 4 RPE 0 Bit 3 DTIE 0 1 1 0 1 Repeat mode Idle mode Description I/O mode (Initial value)
Operations in these modes are described in sections 8.4.2, I/O Mode, 8.4.3, Idle Mode, and 8.4.4, Repeat Mode.
194
Bit 3--Data Transfer Interrupt Enable (DTIE): Enables or disables the CPU interrupt (DEND) requested when the DTE bit is cleared to 0.
Bit 3 DTIE 0 1 Description The DEND interrupt requested by DTE is disabled The DEND interrupt requested by DTE is enabled (Initial value)
Bits 2 to 0--Data Transfer Select (DTS2, DTS1, DTS0): These bits select the data transfer activation source. Some of the selectable sources differ between channels A and B.* Note: * Refer to 8-3-4, Data Transfer Control Registers (DTCR).
Bit 2 DTS2 0 Bit 1 DTS1 0 Bit 0 DTS0 0 1 1 0 1 1 0 0 1 1 0 1 Description Compare match/input capture A interrupt from ITU channel 0 (Initial value)
Compare match/input capture A interrupt from ITU channel 1 Compare match/input capture A interrupt from ITU channel 2 Compare match/input capture A interrupt from ITU channel 3 Transmit-data-empty interrupt from SCI channel 0 Receive-data-full interrupt from SCI channel 0 Falling edge of DREQ input (channel B) Transfer in full address mode (channel A) Low level of DREQ input (channel B) Transfer in full address mode (channel A)
The same internal interrupt can be selected as an activation source for two or more channels at once. In that case the channels are activated in a priority order, highest-priority channel first. For the priority order, see section 8.4.9, Multiple-Channel Operation. When a channel is enabled (DTE = 1), its selected DMAC activation source cannot generate a CPU interrupt.
195
8.3 Register Descriptions (Full Address Mode)
In full address mode the A and B channels operate together. Full address mode is selected as indicated in table 8-4. 8.3.1 Memory Address Registers (MAR) A memory address register (MAR) is a 32-bit readable/writable register. MARA functions as the source address register of the transfer, and MARB as the destination address register. An MAR consists of four 8-bit registers designated MARR, MARE, MARH, and MARL. All bits of MARR are reserved: they cannot be modified and are always read as 1.
Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial value Read/Write
1
1
1
1
1
1
1
1
Undetermined
-- -- -- -- -- -- -- -- R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W MARR MARE MARH MARL
Source or destination address
The MAR value is incremented or decremented each time one byte or word is transferred, automatically updating the source or destination memory address. For details, see section 8.3.4, Data Transfer Control Registers (DTCR). The MARs are not initialized by a reset or in standby mode. 8.3.2 I/O Address Registers (IOAR) The I/O address registers (IOARs) are not used in full address mode.
196
8.3.3 Execute Transfer Count Registers (ETCR) An execute transfer count register (ETCR) is a 16-bit readable/writable register that specifies the number of transfers to be executed. The functions of these registers differ between normal mode and block transfer mode. * Normal mode
ETCRA
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Transfer counter
ETCRB: Is not used in normal mode. In normal mode ETCRA functions as a 16-bit transfer counter. The count is decremented by 1 each time one transfer is executed. The transfer ends when the count reaches H'0000. ETCRB is not used.
197
*
Block transfer mode
ETCRA
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCRAH Block size counter Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCRAL Initial block size
ETCRB
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Block transfer counter
In block transfer mode, ETCRAH functions as an 8-bit block size counter. ETCRAL holds the initial block size. ETCRAH is decremented by 1 each time one byte or word is transferred. When the count reaches H'00, ETCRAH is reloaded from ETCRAL. Blocks consisting of an arbitrary number of bytes or words can be transferred repeatedly by setting the same initial block size value in ETCRAH and ETCRAL. In block transfer mode ETCRB functions as a 16-bit block transfer counter. ETCRB is decremented by 1 each time one block is transferred. The transfer ends when the count reaches H'0000. The ETCRs are not initialized by a reset or in standby mode.
198
8.3.4 Data Transfer Control Registers (DTCR) The data transfer control registers (DTCRs) are 8-bit readable/writable registers that control the operation of the DMAC channels. A channel operates in full address mode when bits DTS2A and DTS1A are both set to 1 in DTCRA. DTCRA and DTCRB have different functions in full address mode. DTCRA
Bit Initial value Read/Write 7 DTE 0 R/W 6 DTSZ 0 R/W 5 SAID 0 R/W 4 SAIDE 0 R/W 3 DTIE 0 R/W 2 DTS2A 0 R/W 1 DTS1A 0 R/W 0 DTS0A 0 R/W
Data transfer enable Enables or disables data transfer Data transfer size Selects byte or word size
Data transfer interrupt enable Enables or disables the CPU interrupt at the end of the transfer
Data transfer select 0A Selects block transfer mode
Source address increment/decrement Source address increment/ decrement enable These bits select whether the source address register (MARA) is incremented, decremented, or held fixed during the data transfer
Data transfer select 2A and 1A These bits must both be set to 1
DTCRA is initialized to H'00 by a reset and in standby mode.
199
Bit 7--Data Transfer Enable (DTE): Together with the DTME bit in DTCRB, this bit enables or disables data transfer on the channel. When the DTME and DTE bits are both set to 1, the channel is enabled. If auto-request is specified, data transfer begins immediately. Otherwise, the channel waits for transfers to be requested. When the specified number of transfers have been completed, the DTE bit is automatically cleared to 0. When DTE is 0, the channel is disabled and does not accept transfer requests. DTE is set to 1 by reading the register when DTE is 0, then writing 1.
Bit 7 DTE 0 1 Description Data transfer is disabled (DTE is cleared to 0 when the specified number of transfers have been completed) Data transfer is enabled (Initial value)
If DTIE is set to 1, a CPU interrupt is requested when DTE is cleared to 0. Bit 6--Data Transfer Size (DTSZ): Selects the data size of each transfer.
Bit 6 DTSZ 0 1 Description Byte-size transfer Word-size transfer (Initial value)
Bit 5--Source Address Increment/Decrement (SAID) and Bit 4--Source Address Increment/Decrement Enable (SAIDE): These bits select whether the source address register (MARA) is incremented, decremented, or held fixed during the data transfer.
Bit 5 SAID 0 Bit 4 SAIDE 0 1 Description MARA is held fixed MARA is incremented after each data transfer * If DTSZ = 0, MARA is incremented by 1 after each transfer * If DTSZ = 1, MARA is incremented by 2 after each transfer 1 0 1 MARA is held fixed MARA is decremented after each data transfer * If DTSZ = 0, MARA is decremented by 1 after each transfer * If DTSZ = 1, MARA is decremented by 2 after each transfer (Initial value)
200
Bit 3--Data Transfer Interrupt Enable (DTIE): Enables or disables the CPU interrupt (DEND) requested when the DTE bit is cleared to 0.
Bit 3 DTIE 0 1 Description The DEND interrupt requested by DTE is disabled The DEND interrupt requested by DTE is enabled (Initial value)
Bits 2 and 1--Data Transfer Select 2A and 1A (DTS2A, DTS1A): A channel operates in full address mode when DTS2A and DTS1A are both set to 1. Bit 0--Data Transfer Select 0A (DTS0A): Selects normal mode or block transfer mode.
Bit 0 DTS0A 0 1 Description Normal mode Block transfer mode (Initial value)
Operations in these modes are described in sections 8.4.5, Normal Mode, and 8.4.6, Block Transfer Mode.
201
DTCRB
Bit Initial value Read/Write 7 DTME 0 R/W 6 -- 0 R/W 5 DAID 0 R/W 4 DAIDE 0 R/W 3 TMS 0 R/W 2 DTS2B 0 R/W 1 DTS1B 0 R/W 0 DTS0B 0 R/W
Data transfer master enable Enables or disables data transfer, together with the DTE bit, and is cleared to 0 by an interrupt Reserved bit
Transfer mode select Selects whether the block area is the source or destination in block transfer mode Data transfer select 2B to 0B These bits select the data transfer activation source
Destination address increment/decrement Destination address increment/decrement enable These bits select whether the destination address register (MARB) is incremented, decremented, or held fixed during the data transfer
DTCRB is initialized to H'00 by a reset and in standby mode. Bit 7--Data Transfer Master Enable (DTME): Together with the DTE bit in DTCRA, this bit enables or disables data transfer. When the DTME and DTE bits are both set to 1, the channel is enabled. When an NMI interrupt occurs DTME is cleared to 0, suspending the transfer so that the CPU can use the bus. The suspended transfer resumes when DTME is set to 1 again. For further information on operation in block transfer mode, see section 8.6.6, NMI Interrupts and Block Transfer Mode. DTME is set to 1 by reading the register while DTME = 0, then writing 1.
Bit 7 DTME 0 1 Description Data transfer is disabled (DTME is cleared to 0 when an NMI interrupt occurs) Data transfer is enabled (Initial value)
202
Bit 6--Reserved: Although reserved, this bit can be written and read. Bit 5--Destination Address Increment/Decrement (DAID) and Bit 4--Destination Address Increment/Decrement Enable (DAIDE): These bits select whether the destination address register (MARB) is incremented, decremented, or held fixed during the data transfer.
Bit 5 DAID 0 Bit 4 DAIDE 0 1 Description MARB is held fixed MARB is incremented after each data transfer * If DTSZ = 0, MARB is incremented by 1 after each data transfer * If DTSZ = 1, MARB is incremented by 2 after each data transfer 1 0 1 MARB is held fixed MARB is decremented after each data transfer * If DTSZ = 0, MARB is decremented by 1 after each data transfer * If DTSZ = 1, MARB is decremented by 2 after each data transfer (Initial value)
Bit 3--Transfer Mode Select (TMS): Selects whether the source or destination is the block area in block transfer mode.
Bit 3 TMS 0 1 Description Destination is the block area in block transfer mode Source is the block area in block transfer mode (Initial value)
203
Bits 2 to 0--Data Transfer Select 2B to 0B (DTS2B, DTS1B, DTS0B): These bits select the data transfer activation source. The selectable activation sources differ between normal mode and block transfer mode. Normal mode
Bit 2 DTS2B 0 Bit 1 DTS1B 0 Bit 0 DTS0B 0 1 1 0 1 1 0 0 1 1 0 1 Description Auto-request (burst mode) Cannot be used Auto-request (cycle-steal mode) Cannot be used Cannot be used Cannot be used Falling edge of DREQ Low level input at DREQ (Initial value)
Block transfer mode
Bit 2 Bit 1 Bit 0 DTS2B DTS1B DTS0B Description 0 0 0 1 1 0 1 1 0 0 1 1 0 1 Compare match/input capture A interrupt from ITU channel 0 (Initial value) Compare match/input capture A interrupt from ITU channel 1 Compare match/input capture A interrupt from ITU channel 2 Compare match/input capture A interrupt from ITU channel 3 Cannot be used Cannot be used Falling edge of DREQ Cannot be used
The same internal interrupt can be selected to activate two or more channels. The channels are activated in a priority order, highest priority first. For the priority order, see section 8.4.9, DMAC Multiple-Channel Operation.
204
8.4 Operation
8.4.1 Overview Table 8-5 summarizes the DMAC modes. Table 8-5 DMAC Modes
Transfer Mode Short address mode I/O mode Idle mode Repeat mode Activation Compare match/input capture A interrupt from ITU channels 0 to 3 Transmit-data-empty and receive-data-full interrupts from SCI channel 0 External request Full address mode Normal mode Auto-request External request Block transfer mode Compare match/input capture A interrupt from ITU channels 0 to 3 External request * A and B channels are paired; up to two channels are available * Burst mode or cyclesteal mode can be selected for autorequests Notes * Up to four channels can operate independently * Only the B channels support external requests
A summary of operations in these modes follows. I/O Mode: One byte or word is transferred per request. A designated number of these transfers are executed. A CPU interrupt can be requested at completion of the designated number of transfers. One 24-bit address and one 8-bit address are specified. The transfer direction is determined automatically from the activation source. Idle Mode: One byte or word is transferred per request. A designated number of these transfers are executed. A CPU interrupt can be requested at completion of the designated number of transfers. One 24-bit address and one 8-bit address are specified. The addresses are held fixed. The transfer direction is determined automatically from the activation source. Repeat Mode: One byte or word is transferred per request. A designated number of these transfers are executed. When the designated number of transfers are completed, the initial address and counter value are restored and operation continues. No CPU interrupt is requested. One 24-bit address and one 8-bit address are specified. The transfer direction is determined automatically from the activation source.
205
Normal Mode * Auto-request The DMAC is activated by register setup alone, and continues executing transfers until the designated number of transfers have been completed. A CPU interrupt can be requested at completion of the transfers. Both addresses are 24-bit addresses. -- Cycle-steal mode The bus is released to another bus master after each byte or word is transferred. -- Burst mode Unless requested by a higher-priority bus master, the bus is not released until the designated number of transfers have been completed. * External request One byte or word is transferred per request. A designated number of these transfers are executed. A CPU interrupt can be requested at completion of the designated number of transfers. Both addresses are 24-bit addresses. Block Transfer Mode: One block of a specified size is transferred per request. A designated number of block transfers are executed. At the end of each block transfer, one address is restored to its initial value. When the designated number of blocks have been transferred, a CPU interrupt can be requested. Both addresses are 24-bit addresses.
206
8.4.2 I/O Mode I/O mode can be selected independently for each channel. One byte or word is transferred at each transfer request in I/O mode. A designated number of these transfers are executed. One address is specified in the memory address register (MAR), the other in the I/O address register (IOAR). The direction of transfer is determined automatically from the activation source. The transfer is from the address specified in IOAR to the address specified in MAR if activated by an SCI channel 0 receive-data-full interrupt, and from the address specified in MAR to the address specified in IOAR otherwise. Table 8-6 indicates the register functions in I/O mode. Table 8-6 Register Functions in I/O Mode
Function Activated by SCI 0 ReceiveData-Full Other Interrupt Activation 0 MAR 23 All 1s 15 Decremented 7 IOAR 0 ETCR 0 Destination address register Source address register Source address register Destination address register
Register 23
Initial Setting Destination or source address Source or destination address
Operation Incremented or decremented once per transfer Held fixed
Transfer counter transfers
Number of once per transfer until H'0000 is reached and transfer ends
Legend MAR: Memory address register IOAR: I/O address register ETCR: Execute transfer count register
MAR and IOAR specify the source and destination addresses. MAR specifies a 24-bit source or destination address, which is incremented or decremented as each byte or word is transferred. IOAR specifies the lower 8 bits of a fixed address. The upper 16 bits are all 1s. IOAR is not incremented or decremented. Figure 8-2 illustrates how I/O mode operates.
207
Address T
Transfer
IOAR
1 byte or word is transferred per request
Address B
Legend L = initial setting of MAR N = initial setting of ETCR Address T = L Address B = L + (-1) DTID * (2 DTSZ * N - 1)
Figure 8-2 Operation in I/O Mode The transfer count is specified as a 16-bit value in ETCR. The ETCR value is decremented by 1 at each transfer. When the ETCR value reaches H'0000, the DTE bit is cleared and the transfer ends. If the DTIE bit is set to 1, a CPU interrupt is requested at this time. The maximum transfer count is 65,536, obtained by setting ETCR to H'0000. Transfers can be requested (activated) by compare match/input capture A interrupts from ITU channels 0 to 3, transmit-data-empty and receive-data-full interrupts from SCI channel 0, and external request signals. For the detailed settings see section 8.2.4, Data Transfer Control Registers (DTCR).
208
Figure 8-3 shows a sample setup procedure for I/O mode.
I/O mode setup 1. Set the source and destination addresses in MAR and IOAR. The transfer direction is determined automatically from the activation source. 2. Set the transfer count in ETCR. 3. Read DTCR while the DTE bit is cleared to 0. 4. Set the DTCR bits as follows. * Select the DMAC activation source with bits DTS2 to DTS0. * Set or clear the DTIE bit to enable or disable the CPU interrupt at the end of the transfer. * Clear the RPE bit to 0 to select I/O mode. * Select MAR increment or decrement with the DTID bit. * Select byte size or word size with the DTSZ bit. * Set the DTE bit to 1 to enable the transfer.
Set source and destination addresses
1
Set transfer count
2
Read DTCR
3
Set DTCR
4
I/O mode
Figure 8-3 I/O Mode Setup Procedure (Example) 8.4.3 Idle Mode Idle mode can be selected independently for each channel. One byte or word is transferred at each transfer request in idle mode. A designated number of these transfers are executed. One address is specified in the memory address register (MAR), the other in the I/O address register (IOAR). The direction of transfer is determined automatically from the activation source. The transfer is from the address specified in IOAR to the address specified in MAR if activated by an SCI channel 0 receive-data-full interrupt, and from the address specified in MAR to the address specified in IOAR otherwise. Table 8-7 indicates the register functions in idle mode.
209
Table 8-7 Register Functions in Idle Mode
Function Activated by SCI 0 ReceiveData-Full Other Interrupt Activation 0 MAR 23 All 1s 15 Decremented 7 IOAR 0 ETCR 0 Destination address register Source address register Source address register Destination address register
Register 23
Initial Setting Destination or source address Source or destination address
Operation Held fixed
Held fixed
Transfer counter transfers
Number of once per transfer until H'0000 is reached and transfer ends
Legend MAR: Memory address register IOAR: I/O address register ETCR: Execute transfer count register
MAR and IOAR specify the source and destination addresses. MAR specifies a 24-bit source or destination address. IOAR specifies the lower 8 bits of a fixed address. The upper 16 bits are all 1s. MAR and IOAR are not incremented or decremented. Figure 8-4 illustrates how idle mode operates.
MAR
Transfer
IOAR
1 byte or word is transferred per request
Figure 8-4 Operation in Idle Mode
210
The transfer count is specified as a 16-bit value in ETCR. The ETCR value is decremented by 1 at each transfer. When the ETCR value reaches H'0000, the DTE bit is cleared, the transfer ends, and a CPU interrupt is requested. The maximum transfer count is 65,536, obtained by setting ETCR to H'0000. Transfers can be requested (activated) by compare match/input capture A interrupts from ITU channels 0 to 3, transmit-data-empty and receive-data-full interrupts from SCI channel 0, and external request signals. For the detailed settings see section 8.2.4, Data Transfer Control Registers (DTCR). Figure 8-5 shows a sample setup procedure for idle mode.
Idle mode setup 1. Set the source and destination addresses in MAR and IOAR. The transfer direction is determined automatically from the activation source. 2. Set the transfer count in ETCR. 3. Read DTCR while the DTE bit is cleared to 0. 4. Set the DTCR bits as follows. * Select the DMAC activation source with bits DTS2 to DTS0. * Set the DTIE and RPE bits to 1 to select idle mode. * Select byte size or word size with the DTSZ bit. * Set the DTE bit to 1 to enable the transfer.
Set source and destination addresses
1
Set transfer count
2
Read DTCR
3
Set DTCR
4
Idle mode
Figure 8-5 Idle Mode Setup Procedure (Example)
211
8.4.4 Repeat Mode Repeat mode is useful for cyclically transferring a bit pattern from a table to the programmable timing pattern controller (TPC) in synchronization, for example, with ITU compare match. Repeat mode can be selected for each channel independently. One byte or word is transferred per request in repeat mode, as in I/O mode. A designated number of these transfers are executed. One address is specified in the memory address register (MAR), the other in the I/O address register (IOAR). At the end of the designated number of transfers, MAR and ETCR are restored to their original values and operation continues. The direction of transfer is determined automatically from the activation source. The transfer is from the address specified in IOAR to the address specified in MAR if activated by an SCI channel 0 receive-datafull interrupt, and from the address specified in MAR to the address specified in IOAR otherwise. Table 8-8 indicates the register functions in repeat mode. Table 8-8 Register Functions in Repeat Mode
Function Activated by SCI 0 ReceiveData-Full Other Interrupt Activation Initial Setting Destination address register Source address register
Register
Operation
23 MAR
0
Destination or Incremented or source address decremented at each transfer until ETCRH reaches H'0000, then restored to initial value Held fixed
23 All 1s
7 IOAR
0
Source address register Transfer counter
Destination Source or address destination register address Number of transfers
7
0
ETCRH
Decremented once per transfer until H'0000 is reached, then reloaded from ETCRL Held fixed
7
0
Initial transfer count
ETCRL Legend MAR: Memory address register IOAR: I/O address register ETCR: Execute transfer count register
Number of transfers
212
In repeat mode ETCRH is used as the transfer counter while ETCRL holds the initial transfer count. ETCRH is decremented by 1 at each transfer until it reaches H'00, then is reloaded from ETCRL. MAR is also restored to its initial value, which is calculated from the DTSZ and DTID bits in DTCR. Specifically, MAR is restored as follows: MAR MAR - (-1)DTID * 2DTSZ * ETCRL ETCRH and ETCRL should be initially set to the same value. In repeat mode transfers continue until the CPU clears the DTE bit to 0. After DTE is cleared to 0, if the CPU sets DTE to 1 again, transfers resume from the state at which DTE was cleared. No CPU interrupt is requested. As in I/O mode, MAR and IOAR specify the source and destination addresses. MAR specifies a 24-bit source or destination address. IOAR specifies the lower 8 bits of a fixed address. The upper 16 bits are all 1s. IOAR is not incremented or decremented. Figure 8-6 illustrates how repeat mode operates.
Address T
Transfer
IOAR
1 byte or word is transferred per request
Address B
Legend L = initial setting of MAR N = initial setting of ETCRH and ETCRL Address T = L Address B = L + (-1) DTID * (2 DTSZ * N - 1)
Figure 8-6 Operation in Repeat Mode
213
The transfer count is specified as an 8-bit value in ETCRH and ETCRL. The maximum transfer count is 255, obtained by setting both ETCRH and ETCRL to H'FF. Transfers can be requested (activated) by compare match/input capture A interrupts from ITU channels 0 to 3, transmit-data-empty and receive-data-full interrupts from SCI channel 0, and external request signals. For the detailed settings see section 8.2.4, Data Transfer Control Registers (DTCR). Figure 8-7 shows a sample setup procedure for repeat mode.
Repeat mode
Set source and destination addresses
1
Set transfer count
2
1. Set the source and destination addresses in MAR and IOAR. The transfer direction is determined automatically from the activation source. 2. Set the transfer count in both ETCRH and ETCRL. 3. Read DTCR while the DTE bit is cleared to 0. 4. Set the DTCR bits as follows. * Select the DMAC activation source with bits DTS2 to DTS0. * Clear the DTIE bit to 0 and set the RPE bit to 1 to select repeat mode. * Select MAR increment or decrement with the DTID bit. * Select byte size or word size with the DTSZ bit. * Set the DTE bit to 1 to enable the transfer.
Read DTCR
3
Set DTCR
4
Repeat mode
Figure 8-7 Repeat Mode Setup Procedure (Example)
214
8.4.5 Normal Mode In normal mode the A and B channels are combined. One byte or word is transferred per request. A designated number of these transfers are executed. Addresses are specified in MARA and MARB. Table 8-9 indicates the register functions in I/O mode. Table 8-9 Register Functions in Normal Mode
Register 23 MARA 23 MARB 15 ETCRA Legend MARA: Memory address register A MARB: Memory address register B ETCRA: Execute transfer count register A 0 0 0 Function Source address register Destination address register Transfer counter Initial Setting Source address Operation Incremented or decremented once per transfer, or held fixed Incremented or decremented once per transfer, or held fixed Decremented once per transfer
Destination address Number of transfers
The source and destination addresses are both 24-bit addresses. MARA specifies the source address. MARB specifies the destination address. MARA and MARB can be independently incremented, decremented, or held fixed as data is transferred. The transfer count is specified as a 16-bit value in ETCRA. The ETCRA value is decremented by 1 at each transfer. When the ETCRA value reaches H'0000, the DTE bit is cleared and the transfer ends. If the DTIE bit is set, a CPU interrupt is requested at this time. The maximum transfer count is 65,536, obtained by setting ETCRA to H'0000. Figure 8-8 illustrates how normal mode operates.
215
Address TA
Transfer
Address T B
Address BA
Address B B
Legend L A = initial setting of MARA L B = initial setting of MARB N = initial setting of ETCRA TA = LA BA = L A + SAIDE * (-1)SAID * (2 DTSZ * N - 1) TB = LB BB = L B + DAIDE * (-1)DAID * (2 DTSZ * N - 1)
Figure 8-8 Operation in Normal Mode Transfers can be requested (activated) by an external request or auto-request. An auto-requested transfer is activated by the register settings alone. The designated number of transfers are executed automatically. Either cycle-steal or burst mode can be selected. In cycle-steal mode the DMAC releases the bus temporarily after each transfer. In burst mode the DMAC keeps the bus until the transfers are completed, unless there is a bus request from a higher-priority bus master. For the detailed settings see section 8.3.4, Data Transfer Control Registers (DTCR).
216
Figure 8-9 shows a sample setup procedure for normal mode.
Normal mode
Set initial source address
1
1. 2. 3. 4.
Set initial destination address
2 5.
Set transfer count
3
Set DTCRB (1)
4
Set DTCRA (1)
5
Read DTCRB
6
6. 7. 8. 9.
Set the initial source address in MARA. Set the initial destination address in MARB. Set the transfer count in ETCRA. Set the DTCRB bits as follows. * Clear the DTME bit to 0. * Set the DAID and DAIDE bits to select whether MARB is incremented, decremented, or held fixed. * Select the DMAC activation source with bits DTS2B to DTS0B. Set the DTCRA bits as follows. * Clear the DTE bit to 0. * Select byte or word size with the DTSZ bit. * Set the SAID and SAIDE bits to select whether MARA is incremented, decremented, or held fixed. * Set or clear the DTIE bit to enable or disable the CPU interrupt at the end of the transfer. * Clear the DTS0A bit to 0 and set the DTS2A and DTS1A bits to 1 to select normal mode. Read DTCRB with DTME cleared to 0. Set the DTME bit to 1 in DTCRB. Read DTCRA with DTE cleared to 0. Set the DTE bit to 1 in DTCRA to enable the transfer.
Set DTCRB (2)
7
Read DTCRA
8
Set DTCRA (2)
9
Normal mode Note: Carry out settings 1 to 9 with the DEND interrupt masked in the CPU. If an NMI interrupt occurs during the setup procedure, it may clear the DTME bit to 0, in
Figure 8-9 Normal Mode Setup Procedure (Example)
217
8.4.6 Block Transfer Mode In block transfer mode the A and B channels are combined. One block of a specified size is transferred per request. A designated number of block transfers are executed. Addresses are specified in MARA and MARB. The block area address can be either held fixed or cycled. Table 8-10 indicates the register functions in block transfer mode. Table 8-10 Register Functions in Block Transfer Mode
Register 23 MARA 23 MARB 7 0 0 0 Function Source address register Destination address register Initial Setting Source address Operation Incremented or decremented once per transfer, or held fixed Incremented or decremented once per transfer, or held fixed Decremented once per transfer until H'00 is reached, then reloaded from ETCRAL Held fixed
Destination address
Block size counter Block size
ETCRAH
7
0
Initial block size
Block size
ETCRAL 15 ETCRB Legend MARA: MARB: ETCRA: ETCRB: 0 Block transfer counter Number of block transfers Decremented once per block transfer until H'0000 is reached and the transfer ends
Memory address register A Memory address register B Execute transfer count register A Execute transfer count register B
The source and destination addresses are both 24-bit addresses. MARA specifies the source address. MARB specifies the destination address. MARA and MARB can be independently incremented, decremented, or held fixed as data is transferred. One of these registers operates as a block area register: even if it is incremented or decremented, it is restored to its initial value at the end of each block transfer. The TMS bit in DTCRB selects whether the block area is the source or destination.
218
If M (1 to 255) is the size of the block transferred at each request and N (1 to 65,536) is the number of blocks to be transferred, then ETCRAH and ETCRAL should initially be set to M and ETCRB should initially be set to N. Figure 8-10 illustrates how block transfer mode operates. In this figure, bit TMS is cleared to 0, meaning the block area is the destination.
TA Transfer Block 1 Block area BA
Address T B
Address B B
Block 2 M bytes or words are transferred per request
Block N
Legend L A = initial setting of MARA L B = initial setting of MARB M = initial setting of ETCRAH and ETCRAL N = initial setting of ETCRB T A = LA B A = L A + SAIDE * (-1) SAID * (2 DTSZ * M - 1) T B = LB B B = L B + DAIDE * (-1)DAID * (2 DTSZ * M - 1)
Figure 8-10 Operation in Block Transfer Mode
219
When activated by a transfer request, the DMAC executes a burst transfer. During the transfer MARA and MARB are updated according to the DTCR settings, and ETCRAH is decremented. When ETCRAH reaches H'00, it is reloaded from ETCRAL to restore the initial value. The memory address register of the block area is also restored to its initial value, and ETCRB is decremented. If ETCRB is not H'0000, the DMAC then waits for the next transfer request. ETCRAH and ETCRAL should be initially set to the same value. The above operation is repeated until ETCRB reaches H'0000, at which point the DTE bit is cleared to 0 and the transfer ends. If the DTIE bit is set to 1, a CPU interrupt is requested at this time. Figure 8-11 shows examples of a block transfer with byte data size when the block area is the destination. In (a) the block area address is cycled. In (b) the block area address is held fixed. Transfers can be requested (activated) by compare match/input capture A interrupts from ITU channels 0 to 3, and by external request signals. For the detailed settings see section 8.3.4, Data Transfer Control Registers (DTCR).
220
Start (DTE = DTME = 1)
Start (DTE = DTME = 1)
Transfer requested? Yes Get bus
No
Transfer requested? Yes Get bus
No
Read from MARA address MARA = MARA + 1 Write to MARB address MARB = MARB + 1 ETCRAH = ETCRAH - 1 No ETCRAH = H'00 Yes Release bus ETCRAH = ETCRAL MARB = MARB - ETCRAL ETCRB = ETCRB - 1 No
Read from MARA address MARA = MARA + 1 Write to MARB address
ETCRAH = ETCRAH - 1 No ETCRAH = H'00 Yes Release bus ETCRAH = ETCRAL
ETCRB = ETCRB - 1 No
ETCRB = H'0000 Yes Clear DTE to 0 and end transfer
ETCRB = H'0000 Yes Clear DTE to 0 and end transfer
a. DTSZ = TMS = 0 SAID = DAID = 0 SAIDE = DAIDE = 1
b. DTSZ = TMS = 0 SAID = 0 SAIDE = 1 DAIDE = 0
Figure 8-11 Block Transfer Mode Flowcharts (Examples)
221
Figure 8-12 shows a sample setup procedure for block transfer mode.
Block transfer mode
Set source address
1
Set destination address
2
Set block transfer count
3
Set block size
4
Set DTCRB (1)
5
Set DTCRA (1)
6
Read DTCRB
7
Set the source address in MARA. Set the destination address in MARB. Set the block transfer count in ETCRB. Set the block size (number of bytes or words) in both ETCRAH and ETCRAL. 5. Set the DTCRB bits as follows. * Clear the DTME bit to 0. * Set the DAID and DAIDE bits to select whether MARB is incremented, decremented, or held fixed. * Set or clear the TMS bit to make the block area the source or destination. * Select the DMAC activation source with bits DTS2B to DTS0B. 6. Set the DTCRA bits as follows. * Clear the DTE to 0. * Select byte size or word size with the DTSZ bit. * Set the SAID and SAIDE bits to select whether MARA is incremented, decremented, or held fixed. * Set or clear the DTIE bit to enable or disable the CPU interrupt at the end of the transfer. * Set bits DTS2A to DTS0A all to 1 to select block transfer mode. 7. Read DTCRB with DTME cleared to 0. 8. Set the DTME bit to 1 in DTCRB. 9. Read DTCRA with DTE cleared to 0. 10. Set the DTE bit to 1 in DTCRA to enable the transfer. 1. 2. 3. 4.
Set DTCRB (2)
8
Read DTCRA
9
Set DTCRA (2)
10
Block transfer mode Note: Carry out settings 1 to 10 with the DEND interrupt masked in the CPU. If an NMI interrupt occurs during the setup procedure, it may clear the DTME bit to 0, in which case the transfer will not start.
Figure 8-12 Block Transfer Mode Setup Procedure (Example)
222
8.4.7 DMAC Activation The DMAC can be activated by an internal interrupt, external request, or auto-request. The available activation sources differ depending on the transfer mode and channel as indicated in table 8-11. Table 8-11 DMAC Activation Sources
Short Address Mode Activation Source Internal interrupts IMIA0 IMIA1 IMIA2 IMIA3 TXI0 RXI0 External requests Falling edge of DREQ Low input at DREQ Auto-request x x x x x x Channels 0A and 1A Channels 0B and 1B Full Address Mode Normal x x x x x x x x Block
Activation by Internal Interrupts: When an interrupt request is selected as a DMAC activation source and the DTE bit is set to 1, that interrupt request is not sent to the CPU. It is not possible for an interrupt request to activate the DMAC and simultaneously generate a CPU interrupt. When the DMAC is activated by an interrupt request, the interrupt request flag is cleared automatically. If the same interrupt is selected to activate two or more channels, the interrupt request flag is cleared when the highest-priority channel is activated, but the transfer request is held pending on the other channels in the DMAC, which are activated in their priority order.
223
Activation by External Request: If an external request (DREQ pin) is selected as an activation source, the DREQ pin becomes an input pin and the corresponding TEND pin becomes an output pin, regardless of the port data direction register (DDR) settings. The DREQ input can be levelsensitive or edge-sensitive. In short address mode and normal mode, an external request operates as follows. If edge sensing is selected, one byte or word is transferred each time a high-to-low transition of the DREQ input is detected. If the next edge is input before the transfer is completed, the next transfer may not be executed. If level sensing is selected, the transfer continues while DREQ is low, until the transfer is completed. The bus is released temporarily after each byte or word has been transferred, however. If the DREQ input goes high during a transfer, the transfer is suspended after the current byte or word has been transferred. When DREQ goes low, the request is held internally until one byte or word has been transferred. The TEND signal goes low during the last write cycle. In block transfer mode, an external request operates as follows. Only edge-sensitive transfer requests are possible in block transfer mode. Each time a high-to-low transition of the DREQ input is detected, a block of the specified size is transferred. The TEND signal goes low during the last write cycle in each block. Activation by Auto-Request: The transfer starts as soon as enabled by register setup, and continues until completed. Cycle-steal mode or burst mode can be selected. In cycle-steal mode the DMAC releases the bus temporarily after transferring each byte or word. Normally, DMAC cycles alternate with CPU cycles. In burst mode the DMAC keeps the bus until the transfer is completed, unless there is a higherpriority bus request. If there is a higher-priority bus request, the bus is released after the current byte or word has been transferred.
224
8.4.8 DMAC Bus Cycle Figure 8-13 shows an example of the timing of the basic DMAC bus cycle. This example shows a word-size transfer from a 16-bit two-state access area to an 8-bit three-state access area. When the DMAC gets the bus from the CPU, after one dead cycle (Td), it reads from the source address and writes to the destination address. During these read and write operations the bus is not released even if there is another bus request. DMAC cycles comply with bus controller settings in the same way as CPU cycles.
CPU cycle T1 o T2 T1 T2 Td T1
DMAC cycle (word transfer) T2 T1 T2 T3 T1 T2 T3 T1
CPU cycle T2 T1 T2
Source address Address bus RD
Destination address
HWR
LWR
Figure 8-13 DMA Transfer Bus Timing (Example)
225
Figure 8-14 shows the timing when the DMAC is activated by low input at a DREQ pin. This example shows a word-size transfer from a 16-bit two-state access area to another 16-bit two-state access area. The DMAC continues the transfer while the DREQ pin is held low.
CPU cycle T1 o T2 T3 Td
DMAC cycle T1 T2 T1 T2
CPU cycle T1 T2 Td
DMAC cycle (last transfer cycle) T1 T2 T1 T2
CPU cycle T1 T2
DREQ Address bus RD
Source Destination address address
Source Destination address address
HWR , LWR
TEND
Figure 8-14 Bus Timing of DMA Transfer Requested by Low DREQ Input
226
Figure 8-15 shows an auto-requested burst-mode transfer. This example shows a transfer of three words from a 16-bit two-state access area to another 16-bit two-state access area.
CPU cycle T1 o Source address Address bus RD Destination address T2 Td T1 T2 T1 T2 DMAC cycle T1 T2 T1 T2 T1 T2 T1 T2 CPU cyc T1 T2
HWR , LWR
Figure 8-15 Burst DMA Bus Timing When the DMAC is activated from a DREQ pin there is a minimum interval of four states from when the transfer is requested until the DMAC starts operating. The DREQ pin is not sampled during the time between the transfer request and the start of the transfer. In short address mode and normal mode, the pin is next sampled at the end of the read cycle. In block transfer mode, the pin is next sampled at the end of one block transfer.
227
Figure 8-16 shows the timing when the DMAC is activated by the falling edge of DREQ in normal mode.
CPU cycle T2 o T1 T2 T1 T2 Td
DMAC cycle T1 T2 T1 T2 T1
CPU cycle T2
DMAC cycle Td T1 T2
DREQ Address bus RD
HWR , LWR Minimum 4 states Next sampling point
Figure 8-16 Timing of DMAC Activation by Falling Edge of DREQ in Normal Mode
228
Figure 8-17 shows the timing when the DMAC is activated by level-sensitive low DREQ input in normal mode.
CPU cycle T2 o T1 T2 T1 T2 Td DMAC cycle T1 T2 T1 T2 T1 CPU cycle T2 T1 T2 T1
DREQ Address bus RD
HWR , LWR Minimum 4 states Next sampling point
Figure 8-17 Timing of DMAC Activation by Low DREQ Level in Normal Mode
229
Figure 8-18 shows the timing when the DMAC is activated by the falling edge of DREQ in block transfer mode.
End of 1 block transfer DMAC cycle T1 o DREQ T2 T1 T2 T1 T2 T1 T2 T1 CPU cycle T2 T1 T2 DMAC cycle Td T1 T2
Address bus RD HWR , LWR
TEND
Next sampling Minimum 4 states
Figure 8-18 Timing of DMAC Activation by Falling Edge of DREQ in Block Transfer Mode
230
8.4.9 DMAC Multiple-Channel Operation The DMAC channel priority order is: channel 0 > channel 1 and channel A > channel B. Table 8-12 shows the complete priority order. Table 8-12 Channel Priority Order
Short Address Mode Channel 0A Channel 0B Channel 1A Channel 1B Channel 1 Low Full Address Mode Channel 0 Priority High
If transfers are requested on two or more channels simultaneously, or if a transfer on one channel is requested during a transfer on another channel, the DMAC operates as follows. 1. When a transfer is requested, the DMAC requests the bus right. When it gets the bus right, it starts a transfer on the highest-priority channel at that time. Once a transfer starts on one channel, requests to other channels are held pending until that channel releases the bus. After each transfer in short address mode, and each externally-requested or cycle-steal transfer in normal mode, the DMAC releases the bus and returns to step 1. After releasing the bus, if there is a transfer request for another channel, the DMAC requests the bus again. After completion of a burst-mode transfer, or after transfer of one block in block transfer mode, the DMAC releases the bus and returns to step 1. If there is a transfer request for a higher-priority channel or a bus request from a higher-priority bus master, however, the DMAC releases the bus after completing the transfer of the current byte or word. After releasing the bus, if there is a transfer request for another channel, the DMAC requests the bus again.
2.
3.
4.
Figure 8-19 shows the timing when channel 0A is set up for I/O mode and channel 1 for burst mode, and a transfer request for channel 0A is received while channel 1 is active.
231
DMAC cycle (channel 1) T1 o Address bus RD HWR , LWR T2 T1
CPU cycle T2 Td
DMAC cycle (channel 0A) T1 T2 T1 T2 T1
CPU cycle T2 Td
DMAC cycle (channel 1) T1 T2 T1 T2
Figure 8-19 Timing of Multiple-Channel Operations 8.4.10 External Bus Requests, Refresh Controller, and DMAC During a DMA transfer, if the bus right is requested by an external bus request signal (BREQ) or by the refresh controller, the DMAC releases the bus after completing the transfer of the current byte or word. If there is a transfer request at this point, the DMAC requests the bus right again. Figure 8-20 shows an example of the timing of insertion of a refresh cycle during a burst transfer on channel 0.
DMAC cycle (channel 0) T1 o Address bus RD HWR , LWR T2 T1 T2 T1 T2 T1 T2
Refresh cycle T1 T2 Td
DMAC cycle (channel 0) T1 T2 T1 T2 T1 T2
Figure 8-20 Bus Timing of Refresh Controller and DMAC
232
8.4.11 NMI Interrupts and DMAC NMI interrupts do not affect DMAC operations in short address mode. If an NMI interrupt occurs during a transfer in full address mode, the DMAC suspends operations. In full address mode, a channel is enabled when its DTE and DTME bits are both set to 1. NMI input clears the DTME bit to 0. After transferring the current byte or word, the DMAC releases the bus to the CPU. In normal mode, the suspended transfer resumes when the CPU sets the DTME bit to 1 again. Check that the DTE bit is set to 1 and the DTME bit is cleared to 0 before setting the DTME bit to 1. Figure 8-21 shows the procedure for resuming a DMA transfer in normal mode on channel 0 after the transfer was halted by NMI input.
Resuming DMA transfer in normal mode
1. Check that DTE = 1 and DTME = 0. 2. Read DTCRB while DTME = 0, then write 1 in the DTME bit. 1
DTE = 1 DTME = 0 Yes Set DTME to 1
No
2
DMA transfer continues
End
Figure 8-21 Procedure for Resuming a DMA Transfer Halted by NMI (Example) For information about NMI interrupts in block transfer mode, see section 8.6.6, NMI Interrupts and Block Transfer Mode.
233
8.4.12 Aborting a DMA Transfer When the DTE bit in an active channel is cleared to 0, the DMAC halts after transferring the current byte or word. The DMAC starts again when the DTE bit is set to 1. In full address mode, the DTME bit can be used for the same purpose. Figure 8-22 shows the procedure for aborting a DMA transfer by software.
DMA transfer abort
1. Clear the DTE bit to 0 in DTCR. To avoid generating an interrupt when aborting a DMA transfer, clear the DTIE bit to 0 simultaneously. 1
Set DTCR
DMA transfer aborted
Figure 8-22 Procedure for Aborting a DMA Transfer
234
8.4.13 Exiting Full Address Mode Figure 8-23 shows the procedure for exiting full address mode and initializing the pair of channels. To set the channels up in another mode after exiting full address mode, follow the setup procedure for the relevant mode.
Exiting full address mode
Halt the channel
1
1. Clear the DTE bit to 0 in DTCRA, or wait for the transfer to end and the DTE bit to be cleared to 0. 2. Clear all DTCRB bits to 0. 3. Clear all DTCRA bits to 0.
Initialize DTCRB
2
Initialize DTCRA
3
Initialized and halted
Figure 8-23 Procedure for Exiting Full Address Mode (Example)
235
8.4.14 DMAC States in Reset State, Standby Modes, and Sleep Mode When the chip is reset or enters hardware or software standby mode, the DMAC is initialized and halts. DMAC operations continue in sleep mode. Figure 8-24 shows the timing of a cycle-steal transfer in sleep mode.
Sleep mode CPU cycle T2 o Td DMAC cycle T1 T2 T1 T2 Td DMAC cycle T1 T2 T1 T2 Td
Address bus
RD HWR , LWR
Figure 8-24 Timing of Cycle-Steal Transfer in Sleep Mode
236
8.5 Interrupts
The DMAC generates only DMA-end interrupts. Table 8-13 lists the interrupts and their priority. Table 8-13 DMAC Interrupts
Description Interrupt DEND0A DEND0B DEND1A DEND1B Short Address Mode End of transfer on channel 0A End of transfer on channel 0B End of transfer on channel 1A End of transfer on channel 1B Full Address Mode End of transfer on channel 0 -- End of transfer on channel 1 -- Low Interrupt Priority High
Each interrupt is enabled or disabled by the DTIE bit in the corresponding data transfer control register (DTCR). Separate interrupt signals are sent to the interrupt controller. The interrupt priority order among channels is channel 0 > channel 1 and channel A > channel B. Figure 8-25 shows the DMA-end interrupt logic. An interrupt is requested whenever DTE = 0 and DTIE = 1.
DTE DMA-end interrupt DTIE
Figure 8-25 DMA-End Interrupt Logic The DMA-end interrupt for the B channels (DENDB) is unavailable in full address mode. The DTME bit does not affect interrupt operations.
237
8.6 Usage Notes
8.6.1 Note on Word Data Transfer Word data cannot be accessed starting at an odd address. When word-size transfer is selected, set even values in the memory and I/O address registers (MAR and IOAR). 8.6.2 DMAC Self-Access The DMAC itself cannot be accessed during a DMAC cycle. DMAC registers cannot be specified as source or destination addresses. 8.6.3 Longword Access to Memory Address Registers A memory address register can be accessed as longword data at the MARR address. Example MOV.L MOV.L #LBL, ER0 ER0, @MARR
Four byte accesses are performed. Note that the CPU may release the bus between the second byte (MARE) and third byte (MARH). Memory address registers should be written and read only when the DMAC is halted. 8.6.4 Note on Full Address Mode Setup Full address mode is controlled by two registers: DTCRA and DTCRB. Care must be taken to prevent the B channel from operating in short address mode during the register setup. The enable bits (DTE and DTME) should not be set to 1 until the end of the setup procedure.
238
8.6.5 Note on Activating DMAC by Internal Interrupts When using an internal interrupt to activate the DMAC, make sure that the interrupt selected as the activating source does not occur during the interval after it has been selected but before the DMAC has been enabled. The on-chip supporting module that will generate the interrupt should not be activated until the DMAC has been enabled. If the DMAC must be enabled while the onchip supporting module is active, follow the procedure in figure 8-26.
Enabling of DMAC
Yes Interrupt handling by CPU
Selected interrupt requested? No
1
1. While the DTE bit is cleared to 0, interrupt requests are sent to the CPU. 2. Clear the interrupt enable bit to 0 in the interrupt-generating on-chip supporting module. 3. Enable the DMAC. 4. Enable the DMAC-activating interrupt.
Clear selected interrupt's enable bit to 0
2
Enable DMAC
3
Set selected interrupt's enable bit to 1
4
DMAC operates
Figure 8-26 Procedure for Enabling DMAC while On-Chip Supporting Module is Operating (Example) If the DTE bit is set to 1 but the DTME bit is cleared to 0, the DMAC is halted and the selected activating source cannot generate a CPU interrupt. If the DMAC is halted by an NMI interrupt, for example, the selected activating source cannot generate CPU interrupts. To terminate DMAC operations in this state, clear the DTE bit to 0 to allow CPU interrupts to be requested. To continue DMAC operations, carry out steps 2 and 4 in figure 8-26 before and after setting the DTME bit to 1.
239
When an ITU interrupt activates the DMAC, make sure the next interrupt does not occur before the DMA transfer ends. If one ITU interrupt activates two or more channels, make sure the next interrupt does not occur before the DMA transfers end on all the activated channels. If the next interrupt occurs before a transfer ends, the channel or channels for which that interrupt was selected may fail to accept further activation requests. 8.6.6 NMI Interrupts and Block Transfer Mode If an NMI interrupt occurs in block transfer mode, the DMAC operates as follows. * When the NMI interrupt occurs, the DMAC finishes transferring the current byte or word, then clears the DTME bit to 0 and halts. The halt may occur in the middle of a block. It is possible to find whether a transfer was halted in the middle of a block by checking the block size counter. If the block size counter does not have its initial value, the transfer was halted in the middle of a block. * If the transfer is halted in the middle of a block, the activating interrupt flag is cleared to 0. The activation request is not held pending. While the DTE bit is set to 1 and the DTME bit is cleared to 0, the DMAC is halted and does not accept activating interrupt requests. If an activating interrupt occurs in this state, the DMAC does not operate and does not hold the transfer request pending internally. Neither is a CPU interrupt requested. For this reason, before setting the DTME bit to 1, first clear the enable bit of the activating interrupt to 0. Then, after setting the DTME bit to 1, set the interrupt enable bit to 1 again. See section 8.6.5, Note on Activating DMAC by Internal Interrupts. * When the DTME bit is set to 1, the DMAC waits for the next transfer request. If it was halted in the middle of a block transfer, the rest of the block is transferred when the next transfer request occurs. Otherwise, the next block is transferred when the next transfer request occurs.
*
8.6.7 Memory and I/O Address Register Values Table 8-14 indicates the address ranges that can be specified in the memory and I/O address registers (MAR and IOAR).
240
Table 8-14 Address Ranges Specifiable in MAR and IOAR
1-Mbyte Mode MAR IOAR H'00000 to H'FFFFF (0 to 1048575) H'FFF00 to H'FFFFF (1048320 to 1048575) 16-Mbyte Mode H'000000 to H'FFFFFF (0 to 16777215) H'FFFF00 to H'FFFFFF (16776960 to 16777215)
MAR bits 23 to 20 are ignored in 1-Mbyte mode. 8.6.8 Bus Cycle when Transfer is Aborted When a transfer is aborted by clearing the DTE bit or suspended by an NMI that clears the DTME bit, if this halts a channel for which the DMAC has a transfer request pending internally, a dead cycle may occur. This dead cycle does not update the halted channel's address register or counter value. Figure 8-27 shows an example in which an auto-requested transfer in cycle-steal mode on channel 0 is aborted by clearing the DTE bit in channel 0.
DMAC cycle Td Td
CPU cycle T1 o T2 Td
DMAC cycle T1 T2 T1 T2 T1
CPU cycle T2 T3
CPU cycle T1 T2
Address bus
RD
HWR, LWR DTE bit is cleared
Figure 8-27 Bus Timing at Abort of DMA Transfer in Cycle-Steal Mode
241
Section 9 I/O Ports
9.1 Overview
The H8/3048 Series has 10 input/output ports (ports 1, 2, 3, 4, 5, 6, 8, 9, A, and B) and one input port (port 7). Table 9-1 summarizes the port functions. The pins in each port are multiplexed as shown in table 9-1. Each port has a data direction register (DDR) for selecting input or output, and a data register (DR) for storing output data. In addition to these registers, ports 2, 4, and 5 have an input pull-up MOS control register (PCR) for switching input pull-up MOS transistors on and off. Ports 1 to 6 and port 8 can drive one TTL load and a 90-pF capacitive load. Ports 9, A, and B can drive one TTL load and a 30-pF capacitive load. Ports 1 to 6 and 8 to B can drive a darlington pair. Ports 1, 2, 5, and B can drive LEDs (with 10-mA current sink). Pins P82 to P80, PA7 to PA0, and PB3 to PB0 have Schmitt-trigger input circuits. For block diagrams of the ports see appendix C, I/O Port Block Diagrams.
243
Table 9-1 Port Functions
Port Description Pins P17 to P10/ A7 to A0 Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Address output pins (A7 to A0) Mode 6 Mode 7 Generic input/ output
Port 1 * 8-bit I/O port * Can drive LEDs
Address output (A7 to A0) and generic input DDR = 0: generic input DDR = 1: address output Address output (A15 to A8) and generic input DDR = 0: generic input DDR = 1: address output
Port 2 * 8-bit I/O port * Input pull-up MOS * Can drive LEDs
P27 to P20/ A15 to A8
Address output pins (A15 to A8)
Generic input/ output
Port 3 * 8-bit I/O port
P37 to P30/ D15 to D8 P47 to P40/ D7 to D0 P53 to P50/ A19 to A16
Data input/output (D15 to D8)
Generic input/ output Generic input/ output Generic input/ output
Port 4 * 8-bit I/O port * Input pull-up MOS Port 5 * 4-bit I/O port * Input pull-up MOS * Can drive LEDs
Data input/output (D7 to D0) and 8-bit generic input/output 8-bit bus mode: generic input/output 16-bit bus mode: data input/output Address output (A19 to A16) Address output (A19 to A16) and 4-bit generic input DDR = 0: generic input DDR = 1: address output
Port 6 * 7-bit I/O port
P66/LWR, P65/HWR, P64/RD, P63/AS P62/BACK, P61/BREQ, P60/WAIT
Bus control signal output (LWR, HWR, RD, AS)
Generic input/ output
Bus control signal input/output (BACK, BREQ, WAIT) and 3-bit generic input/output Analog input (AN7, AN6) to A/D converter, analog output (DA1, DA0) from D/A converter, and generic input Analog input (AN5 to AN0) to A/D converter, and generic input DDR = 0: generic input DDR = 1 (reset value): CS0 output IRQ3 to IRQ1 input, CS1 to CS3 output, and generic input DDR = 0 (reset value): generic input DDR = 1: CS1 to CS3 output Generic input/ output IRQ3 to IRQ0 input and generic input/ output
Port 7 * 8-bit I/O port
P77/AN7/DA1, P76/AN6/DA0 P75 to P70/ AN5 to AN0
Port 8 * 5-bit I/O port * P82 to P80 have Schmitt inputs
P84/CS0
P83/CS1/IRQ3, P82/CS2/IRQ2, P81/CS3/IRQ1
P80/RFSH/IRQ0 IRQ0 input, RFSH output, and generic input/output
244
Table 9-1 Port Functions (cont)
Port Description Pins Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Mode 7
Port 9 * 6-bit I/O port
P95/SCK1/IRQ5, Input and output (SCK1, SCK0, RxD1, RxD0, TxD1, TxD0) for serial P94/SCK0/IRQ4, communication interfaces 1 and 0 (SCI1/0), IRQ5 and IRQ4 input, and P93/RxD1, 6-bit generic input/output P92/RxD0, P91/TxD1, P90/TxD0 PA7/TP7/ TIOCB2/A20 Output (TP7) from programmable timing pattern controller (TPC), input or output (TIOCB2) for 16-bit integrated timer unit (ITU), and generic input/ output TPC output (TP6 to TP4), ITU input and output (TIOCA2, TIOCB1, TIOCA1), CS4 to CS6 output, and generic input/ output Address output (A20) TPC output Address (TP7), ITU output input or (A20) output (TIOCB2), and generic input/output TPC output (TP7), ITU input or output (TIOCB2), and generic input/ output
Port A * 8-bit I/O port * Schmitt inputs
PA6/TP6/ TIOCA2/A21/CS4 PA5/TP5/ TIOCB1/A22/CS5 PA4/TP4/ TIOCA1/A23/CS6
TPC output (TP6 to TP4), ITU input and output (TIOCA2, TIOCB1, TIOCA1), address output (A23 to A21), CS4 to CS6 output, and generic input/output
TPC output (TP6 to TP4), ITU input and output (TIOCA2, TIOCB1, TIOCA1), CS4 to CS6 output, and generic input/output
TPC output (TP6 to TP4), ITU input and output (TIOCA2, TIOCB1, TIOCA1), address output (A23 to A21), CS4 to CS6 output, and generic input/output
TPC output (TP6 to TP4), ITU input and output (TIOCA2, TIOCB1, TIOCA1), and generic input/ output
PA3/TP3/ TPC output (TP3 to TP0), output (TEND1, TEND0) from DMA controller TIOCB0/TCLKD, (DMAC), ITU input and output (TCLKD, TCLKC, TCLKB, TCLKA, PA2/TP2/ TIOCB0, TIOCA0), and generic input/output TIOCA0/TCLKC, PA1/TP1/ TEND1/TCLKB, PA0/TP0/ TEND0/TCLKA Port B * 8-bit I/O port * Can drive LEDs * PB3 to PB0 have Schmitt inputs PB7/TP15/ TPC output (TP15), DMAC input (DREQ1), trigger input (ADTRG) to A/D DREQ1/ADTRG, converter, and generic input/output PB6/TP14/ DREQ0,/CS7 TPC output (TP14), DMAC input (DREQ0), CS7 output, and generic input/output
TPC output
(TP14), DMAC input (DREQ0),
and generic
input/ output
245
Table 9-1 Port Functions (cont)
Port Description Pins Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Mode 7
Port B * 8-bit I/O port * Can drive LEDs * PB3 to PB0 have Schmitt inputs
PB5/TP13/ TPC output (TP13 to TP8), ITU input and output (TOCXB4, TOCXA4, TOCXB4, TIOCB4, TIOCA4, TIOCB3, TIOCA3), and generic input/output PB4/TP12/ TOCXA4, PB3/TP11/TIOCB4, PB2/TP10/TIOCA4, PB1/TP9/TIOCB3, PB0/TP8/TIOCA3
9.2 Port 1
9.2.1 Overview Port 1 is an 8-bit input/output port with the pin configuration shown in figure 9-1. The pin functions differ between the expanded modes with on-chip ROM disabled, expanded modes with on-chip ROM enabled, and single-chip mode. In modes 1 to 4 (expanded modes with on-chip ROM disabled), they are address bus output pins (A7 to A0). In modes 5 and 6 (expanded modes with on-chip ROM enabled), settings in the port 1 data direction register (P1DDR) can designate pins for address bus output (A7 to A0) or generic input. In mode 7 (single-chip mode), port 1 is a generic input/output port. When DRAM is connected to area 3, A7 to A0 output row and column addresses in read and write cycles. For details see section 7, Refresh Controller. Pins in port 1 can drive one TTL load and a 90-pF capacitive load. They can also drive a darlington transistor pair.
Port 1 pins P17 /A 7 P16 /A 6 P15 /A 5 Port 1 P14 /A 4 P13 /A 3 P12 /A 2 P11 /A 1 P10 /A 0 Modes 1 to 4 A 7 (output) A 6 (output) A 5 (output) A 4 (output) A 3 (output) A 2 (output) A 1 (output) A 0 (output) Modes 5 and 6 P17 (input)/A 7 (output) P16 (input)/A 6 (output) P15 (input)/A 5 (output) P14 (input)/A 4 (output) P13 (input)/A 3 (output) P12 (input)/A 2 (output) P11 (input)/A 1 (output) P10 (input)/A 0 (output) Mode 7 P17 (input/output) P16 (input/output) P15 (input/output) P14 (input/output) P13 (input/output) P12 (input/output) P11 (input/output) P10 (input/output)
Figure 9-1 Port 1 Pin Configuration
246
9.2.2 Register Descriptions Table 9-2 summarizes the registers of port 1. Table 9-2 Port 1 Registers
Initial Value Address* H'FFC0 H'FFC2 Name Port 1 data direction register Port 1 data register Abbreviation P1DDR P1DR R/W W R/W Modes 1 to 4 H'FF H'00 Modes 5 to 7 H'00 H'00
Note: * Lower 16 bits of the address.
Port 1 Data Direction Register (P1DDR): P1DDR is an 8-bit write-only register that can select input or output for each pin in port 1.
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 1 -- 0 W 6 1 -- 0 W 5 1 -- 0 W 4 1 -- 0 W 3 1 -- 0 W 2 1 -- 0 W 1 1 -- 0 W 0 1 -- 0 W
P1 7 DDR P1 6 DDR P1 5 DDR P1 4 DDR P1 3 DDR P1 2 DDR P1 1 DDR P1 0 DDR
Port 1 data direction 7 to 0 These bits select input or output for port 1 pins
Modes 1 to 4 (Expanded Modes with On-Chip ROM Disabled): P1DDR values are fixed at 1 and cannot be modified. Port 1 functions as an address bus. Modes 5 and 6 (Expanded Modes with On-Chip ROM Enabled): A pin in port 1 becomes an address output pin if the corresponding P1DDR bit is set to 1, and a generic input pin if this bit is cleared to 0. Mode 7 (Single-Chip Mode): Port 1 functions as an input/output port. A pin in port 1 becomes an output pin if the corresponding P1DDR bit is set to 1, and an input pin if this bit is cleared to 0.
247
In modes 5 to 7, P1DDR is a write-only register. Its value cannot be read. All bits return 1 when read. P1DDR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. If a P1DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 1 Data Register (P1DR): P1DR is an 8-bit readable/writable register that stores port 1 output data. When this register is read, the pin logic level of a pin is read for bits for which the P1DDR setting is 0, and the P1DR value is read for bits for which the P1DDR setting is 1.
Bit Initial value Read/Write 7 P17 0 R/W 6 P16 0 R/W 5 P15 0 R/W 4 P14 0 R/W 3 P13 0 R/W 2 P12 0 R/W 1 P11 0 R/W 0 P10 0 R/W
Port 1 data 7 to 0 These bits store data for port 1 pins
P1DR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
248
9.3 Port 2
9.3.1 Overview Port 2 is an 8-bit input/output port with the pin configuration shown in figure 9-2. The pin functions differ according to the operating mode. In modes 1 to 4 (expanded modes with on-chip ROM disabled), port 2 consists of address bus output pins (A15 to A8). In modes 5 and 6 (expanded modes with on-chip ROM enabled), settings in the port 2 data direction register (P2DDR) can designate pins for address bus output (A15 to A8) or generic input. In mode 7 (single-chip mode), port 2 is a generic input/output port. When DRAM is connected to area 3, A9 and A8 output row and column addresses in read and write cycles. For details see section 7, Refresh Controller. Port 2 has software-programmable built-in pull-up MOS. Pins in port 2 can drive one TTL load and a 90-pF capacitive load. They can also drive a darlington transistor pair.
Port 2 pins P27 /A 15 P26 /A 14 P25 /A 13 Port 2 P24 /A 12 P23 /A 11 P22 /A 10 P21 /A 9 P20 /A 8
Modes 1 to 4 A15 (output) A14 (output) A13 (output) A12 (output) A11 (output) A10 (output) A9 (output) A8 (output)
Modes 5 and 6 P27 (input)/A15 (output) P26 (input)/A14 (output) P25 (input)/A13 (output) P24 (input)/A12 (output) P23 (input)/A11 (output) P22 (input)/A10 (output) P21 (input)/A9 (output) P20 (input)/A8 (output)
Mode 7 P27 (input/output) P26 (input/output) P25 (input/output) P24 (input/output) P23 (input/output) P22 (input/output) P21 (input/output) P20 (input/output)
Figure 9-2 Port 2 Pin Configuration
249
9.3.2 Register Descriptions Table 9-3 summarizes the registers of port 2. Table 9-3 Port 2 Registers
Initial Value Address* H'FFC1 H'FFC3 H'FFD8 Name Port 2 data direction register Port 2 data register Port 2 input pull-up MOS control register Abbreviation P2DDR P2DR P2PCR R/W W R/W R/W Modes 1 to 4 H'FF H'00 H'00 Modes 5 to 7 H'00 H'00 H'00
Note: * Lower 16 bits of the address.
Port 2 Data Direction Register (P2DDR): P2DDR is an 8-bit write-only register that can select input or output for each pin in port 2.
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 1 -- 0 W 6 1 -- 0 W 5 1 -- 0 W 4 1 -- 0 W 3 1 -- 0 W 2 1 -- 0 W 1 1 -- 0 W 0 1 -- 0 W
P2 7 DDR P2 6 DDR P2 5 DDR P2 4 DDR P2 3 DDR P2 2 DDR P2 1 DDR P2 0 DDR
Port 2 data direction 7 to 0 These bits select input or output for port 2 pins
Modes 1 to 4 (Expanded Modes with On-Chip ROM Disabled): P2DDR values are fixed at 1 and cannot be modified. Port 2 functions as an address bus. Modes 5 and 6 (Expanded Modes with On-Chip ROM Enabled): Following a reset, port 2 is an input port. A pin in port 2 becomes an address output pin if the corresponding P2DDR bit is set to 1, and a generic input port if this bit is cleared to 0. Mode 7 (Single-Chip Mode): Port 2 functions as an input/output port. A pin in port 2 becomes an output port if the corresponding P2DDR bit is set to 1, and an input port if this bit is cleared to 0.
250
In modes 5 to 7, P2DDR is a write-only register. Its value cannot be read. All bits return 1 when read. P2DDR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. If a P2DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 2 Data Register (P2DR): P2DR is an 8-bit readable/writable register that stores output data for pins P27 to P20. When a bit in P2DDR is set to 1, if port 2 is read the value of the corresponding P2DR bit is returned. When a bit in P2DDR is cleared to 0, if port 2 is read the corresponding pin level is read.
Bit Initial value Read/Write 7 P2 7 0 R/W 6 P2 6 0 R/W 5 P2 5 0 R/W 4 P2 4 0 R/W 3 P2 3 0 R/W 2 P2 2 0 R/W 1 P2 1 0 R/W 0 P2 0 0 R/W
Port 2 data 7 to 0 These bits store data for port 2 pins
P2DR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. Port 2 Input Pull-Up MOS Control Register (P2PCR): P2PCR is an 8-bit readable/writable register that controls the MOS input pull-up transistors in port 2.
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
P2 7 PCR P2 6 PCR P2 5 PCR P2 4 PCR P2 3 PCR P2 2 PCR P2 1 PCR P2 0 PCR
Port 2 input pull-up MOS control 7 to 0 These bits control input pull-up transistors built into port 2
In modes 5 to 7, when a P2DDR bit is cleared to 0 (selecting generic input), if the corresponding bit from P27PCR to P20PCR is set to 1, the input pull-up MOS is turned on. P2PCR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
251
Table 9-4 summarizes the states of the input pull-up transistors. Table 9-4 Input Pull-Up MOS States (Port 2)
Mode 1 2 3 4 5 6 7 Reset Off Hardware Standby Mode Off Software Standby Mode Off Other Modes Off
Off
Off
On/off
On/off
Legend Off: The input pull-up MOS is always off. On/off: The input pull-up MOS is on if P2PCR = 1 and P2DDR = 0. Otherwise, it is off.
252
9.4 Port 3
9.4.1 Overview Port 3 is an 8-bit input/output port with the pin configuration shown in figure 9-3. Port 3 is a data bus in modes 1 to 6 (expanded modes) and a generic input/output port in mode 7 (single-chip mode). Pins in port 3 can drive one TTL load and a 90-pF capacitive load. They can also drive a darlington transistor pair.
Port 3 pins P37 /D15 P36 /D14 P35 /D13 Port 3 P34 /D12 P33 /D11 P32 /D10 P31 /D9 P30 /D8
Modes 1 to 6 D15 (input/output) D14 (input/output) D13 (input/output) D12 (input/output) D11 (input/output) D10 (input/output) D9 (input/output) D8 (input/output)
Mode 7 P37 (input/output) P36 (input/output) P35 (input/output) P34 (input/output) P33 (input/output) P32 (input/output) P31 (input/output) P30 (input/output)
Figure 9-3 Port 3 Pin Configuration 9.4.2 Register Descriptions Table 9-5 summarizes the registers of port 3. Table 9-5 Port 3 Registers
Address* H'FFC4 H'FFC6 Name Port 3 data direction register Port 3 data register Abbreviation P3DDR P3DR R/W W R/W Initial Value H'00 H'00
Note: * Lower 16 bits of the address.
253
Port 3 Data Direction Register (P3DDR): P3DDR is an 8-bit write-only register that can select input or output for each pin in port 3.
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P3 7 DDR P3 6 DDR P3 5 DDR P3 4 DDR P3 3 DDR P3 2 DDR P3 1 DDR P3 0 DDR
Port 3 data direction 7 to 0 These bits select input or output for port 3 pins
Modes 1 to 6 (Expanded Modes): Port 3 functions as a data bus. P3DDR is ignored. Mode 7 (Single-Chip Mode): Port 3 functions as an input/output port. A pin in port 3 becomes an output port if the corresponding P3DDR bit is set to 1, and an input port if this bit is cleared to 0. P3DDR is a write-only register. Its value cannot be read. All bits return 1 when read. P3DDR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. If a P3DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 3 Data Register (P3DR): P3DR is an 8-bit readable/writable register that stores output data for pins P37 to P30. When a bit in P3DDR is set to 1, if port 3 is read the value of the corresponding P3DR bit is returned. When a bit in P3DDR is cleared to 0, if port 3 is read the corresponding pin level is read.
Bit Initial value Read/Write 7 P3 7 0 R/W 6 P3 6 0 R/W 5 P3 5 0 R/W 4 P3 4 0 R/W 3 P3 3 0 R/W 2 P3 2 0 R/W 1 P3 1 0 R/W 0 P3 0 0 R/W
Port 3 data 7 to 0 These bits store data for port 3 pins
P3DR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
254
9.5 Port 4
9.5.1 Overview Port 4 is an 8-bit input/output port with the pin configuration shown in figure 9-4. The pin functions differ according to the operating mode. In modes 1 to 6 (expanded modes), when the bus width control register (ABWCR) designates areas 0 to 7 all as 8-bit-access areas, the chip operates in 8-bit bus mode and port 4 is a generic input/output port. When at least one of areas 0 to 7 is designated as a 16-bit-access area, the chip operates in 16-bit bus mode and port 4 becomes part of the data bus. In mode 7 (single-chip mode), port 4 is a generic input/output port. Port 4 has software-programmable built-in pull-up MOS. Pins in port 4 can drive one TTL load and a 90-pF capacitive load. They can also drive a darlington transistor pair.
Port 4 pins P47 /D7 P46 /D6 P45 /D5 Port 4 P44 /D4 P43 /D3 P42 /D2 P41 /D1 P40 /D0
Modes 1 to 6 P47 (input/output)/D7 (input/output) P46 (input/output)/D6 (input/output) P45 (input/output)/D5 (input/output) P44 (input/output)/D4 (input/output) P43 (input/output)/D3 (input/output) P42 (input/output)/D2 (input/output) P41 (input/output)/D1 (input/output) P40 (input/output)/D0 (input/output)
Mode 7 P47 (input/output) P46 (input/output) P45 (input/output) P44 (input/output) P43 (input/output) P42 (input/output) P41 (input/output) P40 (input/output)
Figure 9-4 Port 4 Pin Configuration
255
9.5.2 Register Descriptions Table 9-6 summarizes the registers of port 4. Table 9-6 Port 4 Registers
Address* H'FFC5 H'FFC7 H'FFDA Name Port 4 data direction register Port 4 data register Port 4 input pull-up MOS control register Abbreviation P4DDR P4DR P4PCR R/W W R/W R/W Initial Value H'00 H'00 H'00
Note: * Lower 16 bits of the address.
Port 4 Data Direction Register (P4DDR): P4DDR is an 8-bit write-only register that can select input or output for each pin in port 4.
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P4 7 DDR P4 6 DDR P4 5 DDR P4 4 DDR P4 3 DDR P4 2 DDR P4 1 DDR P4 0 DDR
Port 4 data direction 7 to 0 These bits select input or output for port 4 pins
Modes 1 to 6 (Expanded Modes): When all areas are designated as 8-bit-access areas, selecting 8-bit bus mode, port 4 functions as a generic input/output port. A pin in port 4 becomes an output port if the corresponding P4DDR bit is set to 1, and an input port if this bit is cleared to 0. When at least one area is designated as a 16-bit-access area, selecting 16-bit bus mode, port 4 functions as part of the data bus. Mode 7 (Single-Chip Mode): Port 4 functions as an input/output port. A pin in port 4 becomes an output port if the corresponding P4DDR bit is set to 1, and an input port if this bit is cleared to 0. P4DDR is a write-only register. Its value cannot be read. All bits return 1 when read. P4DDR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
256
ABWCR and P4DDR are not initialized in software standby mode. When port 4 functions as a generic input/output port, if a P4DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 4 Data Register (P4DR): P4DR is an 8-bit readable/writable register that stores output data for pins P47 to P40. When a bit in P4DDR is set to 1, if port 4 is read the value of the corresponding P4DR bit is returned. When a bit in P4DDR is cleared to 0, if port 4 is read the corresponding pin level is read.
Bit Initial value Read/Write 7 P4 7 0 R/W 6 P4 6 0 R/W 5 P4 5 0 R/W 4 P4 4 0 R/W 3 P4 3 0 R/W 2 P4 2 0 R/W 1 P4 1 0 R/W 0 P4 0 0 R/W
Port 4 data 7 to 0 These bits store data for port 4 pins
P4DR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. Port 4 Input Pull-Up MOS Control Register (P4PCR): P4PCR is an 8-bit readable/writable register that controls the MOS input pull-up transistors in port 4.
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
P4 7 PCR P4 6 PCR P4 5 PCR P4 4 PCR P4 3 PCR P4 2 PCR P4 1 PCR P4 0 PCR
Port 4 input pull-up MOS control 7 to 0 These bits control input pull-up MOS transistors built into port 4
In mode 7 (single-chip mode), and in 8-bit bus mode in modes 1 to 6 (expanded modes), when a P4DDR bit is cleared to 0 (selecting generic input), if the corresponding P4PCR bit is set to 1, the input pull-up MOS transistor is turned on. P4PCR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
257
Table 9-7 summarizes the states of the input pull-ups MOS in the 8-bit and 16-bit bus modes. Table 9-7 Input Pull-Up MOS Transistor States (Port 4)
Mode 1 to 6 8-bit bus mode 16-bit bus mode 7 Reset Off Hardware Standby Mode Off Software Standby Mode On/off Off On/off Other Modes On/off Off On/off
Legend Off: The input pull-up MOS transistor is always off. On/off: The input pull-up MOS transistor is on if P4PCR = 1 and P4DDR = 0. Otherwise, it is off.
258
9.6 Port 5
9.6.1 Overview Port 5 is a 4-bit input/output port with the pin configuration shown in figure 9-5. The pin functions differ depending on the operating mode. In modes 1 to 4 (expanded modes with on-chip ROM disabled), port 5 consists of address output pins (A19 to A16). In modes 5 and 6 (expanded modes with on-chip ROM enabled), settings in the port 5 data direction register (P5DDR) designate pins for address bus output (A19 to A16) or generic input. In mode 7 (single-chip mode), port 5 is a generic input/output port. Port 5 has software-programmable built-in pull-up MOS transistors. Pins in port 5 can drive one TTL load and a 90-pF capacitive load. They can also drive an LED or a darlington transistor pair.
Port 5 pins P53 /A 19 Port 5 P52 /A 18 P51 /A 17 P50 /A 16
Modes 1 to 4 A19 (output) A18 (output) A17 (output) A16 (output)
Modes 5 and 6 P5 3 (input)/A19 (output) P5 2 (input)/A18 (output) P5 1 (input)/A17 (output) P5 0 (input)/A16 (output)
Mode 7 P5 3 (input/output) P5 2 (input/output) P5 1 (input/output) P5 0 (input/output)
Figure 9-5 Port 5 Pin Configuration 9.6.2 Register Descriptions Table 9-8 summarizes the registers of port 5. Table 9-8 Port 5 Registers
Initial Value Address* H'FFC8 H'FFCA H'FFDB Name Port 5 data direction register Port 5 data register Port 5 input pull-up MOS control register Abbreviation P5DDR P5DR P5PCR R/W W R/W R/W Modes 1 to 4 H'FF H'F0 H'F0 Modes 5 to 7 H'F0 H'F0 H'F0
Note: * Lower 16 bits of the address. 259
Port 5 Data Direction Register (P5DDR): P5DDR is an 8-bit write-only register that can select input or output for each pin in port 5.
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 -- 1 -- 1 -- 6 -- 1 -- 1 -- Reserved bits 5 -- 1 -- 1 -- 4 -- 1 -- 1 -- 3 1 -- 0 W 2 1 -- 0 W 1 1 -- 0 W 0 1 -- 0 W
P5 3 DDR P5 2 DDR P5 1 DDR P5 0 DDR
Port 5 data direction 3 to 0 These bits select input or output for port 5 pins
Modes 1 to 4 (Expanded Modes with On-Chip ROM Disabled): P5DDR values are fixed at 1 and cannot be modified. Port 5 functions as an address bus. The reserved bits (bits 7 to 4) are also fixed at 1. Modes 5 and 6 (Expanded Modes with On-Chip ROM Enabled): Following a reset, port 5 is an input port. A pin in port 5 becomes an address output pin if the corresponding P5DDR bit is set to 1, and an input port if this bit is cleared to 0. Mode 7 (Single-Chip Mode): Port 5 functions as an input/output port. A pin in port 5 becomes an output port if the corresponding P5DDR bit is set to 1, and an input port if this bit is cleared to 0. P5DDR is a write-only register. Its value cannot be read. All bits return 1 when read. P5DDR is initialized to H'F0 by a reset and in hardware standby mode. In software standby mode it retains its previous setting, so if a P5DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 5 Data Register (P5DR): P5DR is an 8-bit readable/writable register that stores output data for pins P53 to P50. When a bit in P5DDR is set to 1, if port 5 is read the value of the corresponding P5DR bit is returned. When a bit in P5DDR is cleared to 0, if port 5 is read the corresponding pin level is read.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 P5 3 0 R/W 2 P5 2 0 R/W 1 P5 1 0 R/W 0 P5 0 0 R/W
Reserved bits
Port 5 data 3 to 0 These bits store data for port 5 pins 260
Bits 7 to 4 are reserved. They cannot be modified and are always read as 1. P5DR is initialized to H'F0 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. Port 5 Input Pull-Up MOS Control Register (P5PCR): P5PCR is an 8-bit readable/writable register that controls the MOS input pull-up MOS transistors in port 5.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
P5 3 PCR P5 2 PCR P5 1 PCR P5 0 PCR
Reserved bits
Port 5 input pull-up MOS control 3 to 0 These bits control input pull-up MOS transistors built into port 5
In modes 5 to 7, when a P5DDR bit is cleared to 0 (selecting generic input), if the corresponding bit from P53PCR to P50PCR is set to 1, the input pull-up MOS transistor is turned on. P5PCR is initialized to H'F0 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. Table 9-9 summarizes the states of the input pull-ups MOS in each mode. Table 9-9 Input Pull-Up MOS Transistor States (Port 5)
Mode 1 2 3 4 5 6 7 Reset Off Hardware Standby Mode Off Software Standby Mode Off Other Modes Off
Off
Off
On/off
On/off
Legend Off: The input pull-up MOS transistor is always off. On/off: The input pull-up MOS transistor is on if P5PCR = 1 and P5DDR = 0. Otherwise, it is off.
261
9.7 Port 6
9.7.1 Overview Port 6 is a 7-bit input/output port that is also used for input and output of bus control signals (LWR, HWR, RD, AS, BACK, BREQ, and WAIT). When DRAM is connected to area 3, LWR, HWR, and RD also function as LW, UW, and CAS, or LCAS, UCAS, and WE, respectively. For details see section 7, Refresh Controller. Figure 9-6 shows the pin configuration of port 6. In modes 1 to 6 (expanded modes) the pin functions are LWR, HWR, RD, AS, P62/BACK, P61/BREQ, and P60/WAIT. See table 9-11 for the method of selecting the pin states. In mode 7 (single-chip mode) port 6 is a generic input/output port. Pins in port 6 can drive one TTL load and a 30-pF capacitive load. They can also drive a darlington transistor pair.
Port 6 pins P6 6 / LWR P6 5 / HWR P6 4 / RD Port 6 P6 3 / AS P6 2 / BACK P6 1 / BREQ P6 0 / WAIT Modes 1 to 6 (expanded modes) LWR (output) HWR (output) RD AS (output) (output) Mode 7 (single-chip mode) P6 6 (input/output) P6 5 (input/output) P6 4 (input/output) P6 3 (input/output) P6 2 (input/output) P6 1 (input/output) P6 0 (input/output)
P6 2 (input/output)/ BACK (output) P6 1 (input/output)/ BREQ (input) P6 0 (input/output)/ WAIT (input)
Figure 9-6 Port 6 Pin Configuration 9.7.2 Register Descriptions Table 9-10 summarizes the registers of port 6. Table 9-10 Port 6 Registers
Initial Value Address* H'FFC9 H'FFCB Name Port 6 data direction register Port 6 data register Abbreviation P6DDR P6DR R/W W R/W Mode 1 to 5 H'F8 H'80 Mode 6, 7 H'80 H'80
Note: * Lower 16 bits of the address. 262
Port 6 Data Direction Register (P6DDR): P6DDR is an 8-bit write-only register that can select input or output for each pin in port 6.
Bit Initial value Read/Write 7 -- 1 -- Reserved bit 6 0 W 5 0 W 4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P6 6 DDR P6 5 DDR P6 4 DDR P6 3 DDR P6 2 DDR P6 1 DDR P6 0 DDR
Port 6 data direction 6 to 0 These bits select input or output for port 6 pins
Modes 1 to 6 (Expanded Modes): P66 to P63 function as bus control output pins (LWR, HWR, RD, AS). P62 to P60 are generic input/output pins, functioning as output port when bits P62DDR to P60DDR are set to 1 and input port when these bits are cleared to 0. Mode 7 (Single-Chip Mode): Port 6 is a generic input/output port. A pin in port 6 becomes an output port if the corresponding P6DDR bit is set to 1, and an input port if this bit is cleared to 0. Bit 7 is reserved. P6DDR is a write-only register. Its value cannot be read. All bits return 1 when read. P6DDR is initialized to H'80 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. If a P6DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 6 Data Register (P6DR): P6DR is an 8-bit readable/writable register that stores output data for pins P66 to P60. When a bit in P6DDR is set to 1, if port 6 is read the value of the corresponding P6DR bit is returned. When a bit in P6DDR is cleared to 0, if port 6 is read the corresponding pin level is read.
Bit Initial value Read/Write 7 -- 1 -- Reserved bit 6 P6 6 0 R/W 5 P6 5 0 R/W 4 P6 4 0 R/W 3 P6 3 0 R/W 2 P6 2 0 R/W 1 P6 1 0 R/W 0 P6 0 0 R/W
Port 6 data 6 to 0 These bits store data for port 6 pins
Bit 7 is reserved, cannot be modified, and always read as 1. P6DR is initialized to H'80 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
263
Table 9-11 Port 6 Pin Functions in Modes 1 to 6
Pin P66/LWR Pin Functions and Selection Method Functions as follows regardless of P66DDR P66DDR Pin function P65/HWR 0 LWR output 1
Functions as follows regardless of P65DDR P65DDR Pin function 0 HWR output 1
P64/RD
Functions as follows regardless of P64DDR P64DDR Pin function 0 RD output 1
P63/AS
Functions as follows regardless of P63DDR P63DDR Pin function 0 AS output 1
P62/BACK
Bit BRLE in BRCR and bit P62DDR select the pin function as follows BRLE P62DDR Pin function 0 P62 input 0 1 P62 output 1 -- BACK output
P61/BREQ
Bit BRLE in BRCR and bit P61DDR select the pin function as follows BRLE P61DDR Pin function 0 P61 input 0 1 P61 output 1 -- BREQ input
P60/WAIT
Bits WCE7 to WCE0 in WCER, bit WMS1 in WCR, and bit P60DDR select the pin function as follows WCER WMS1 P60DDR Pin function 0 P60 input 0 1 P60 output All 1s 1 0* Not all 1s -- 0* WAIT input
Note: * Do not set bit P60DDR to 1.
264
9.8 Port 7
9.8.1 Overview Port 7 is an 8-bit input port that is also used for analog input to the A/D converter and analog output from the D/A converter. The pin functions are the same in all operating modes. Figure 9-7 shows the pin configuration of port 7.
Port 7 pins P77 (input)/AN 7 (input)/DA 1 (output) P76 (input)/AN 6 (input)/DA 0 (output) P75 (input)/AN 5 (input) Port 7 P74 (input)/AN 4 (input) P73 (input)/AN 3 (input) P72 (input)/AN 2 (input) P71 (input)/AN 1 (input) P70 (input)/AN 0 (input)
Figure 9-7 Port 7 Pin Configuration
265
9.8.2 Register Description Table 9-12 summarizes the port 7 register. Port 7 is an input-only port, so it has no data direction register. Table 9-12 Port 7 Data Register
Address* H'FFCE Name Port 7 data register Abbreviation P7DR R/W R Initial Value Undetermined
Note: * Lower 16 bits of the address.
Port 7 Data Register (P7DR)
Bit Initial value Read/Write 7 P77 --* R 6 P76 --* R 5 P75 --* R 4 P74 --* R 3 P73 --* R 2 P72 --* R 1 P71 --* R 0 P70 --* R
Note: * Determined by pins P7 7 to P70 .
When port 7 is read, the pin levels are always read.
266
9.9 Port 8
9.9.1 Overview Port 8 is a 5-bit input/output port that is also used for CS3 to CS0 output, RFSH output, and IRQ3 to IRQ0 input. Figure 9-8 shows the pin configuration of port 8. In modes 1 to 6 (expanded modes), port 8 can provide CS3 to CS0 output, RFSH output, and IRQ3 to IRQ0 input. See table 9-14 for the selection of pin functions in expanded modes. In mode 7 (single-chip mode), port 8 can provide IRQ3 to IRQ0 input. See table 9-15 for the selection of pin functions in single-chip mode. The IRQ3 to IRQ0 functions are selected by IER settings, regardless of whether the pin is used for input or output. For details see section 5, Interrupt Controller. Pins in port 8 can drive one TTL load and a 90-pF capacitive load. They can also drive a darlington transistor pair. Pins P82 to P80 have Schmitt-trigger inputs.
Port 8 pins P84 / CS 0 P83 / CS 1 / IRQ 3 Port 8 P82 / CS 2 / IRQ 2 P81 / CS 3 / IRQ 1 P80 / RFSH /IRQ 0
Pin functions in modes 1 to 6 (expanded modes) P84 (input)/ CS 0 (output) P83 (input)/ CS 1 (output)/ IRQ 3 (input) P82 (input)/ CS 2 (output)/ IRQ 2 (input) P81 (input)/ CS 3 (output)/ IRQ 1 (input) P80 (input/output)/ RFSH (output)/ IRQ 0 (input)
Pin functions in mode 7 (single-chip mode) P84 /(input/output) P83 /(input/output)/ IRQ 3 (input) P82 /(input/output)/ IRQ 2 (input) P81 /(input/output)/ IRQ 1 (input) P80 /(input/output)/ IRQ 0 (input)
Figure 9-8 Port 8 Pin Configuration
267
9.9.2 Register Descriptions Table 9-13 summarizes the registers of port 8. Table 9-13 Port 8 Registers
Initial Value Address* H'FFCD H'FFCF Name Port 8 data direction register Port 8 data register Abbreviation P8DDR P8DR R/W W R/W Mode 1 to 4 H'F0 H'E0 Mode 5 to 7 H'E0 H'E0
Note: * Lower 16 bits of the address.
Port 8 Data Direction Register (P8DDR): P8DDR is an 8-bit write-only register that can select input or output for each pin in port 8.
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 -- 1 -- 1 -- 6 -- 1 -- 1 -- 5 -- 1 -- 1 -- 4 1 W 0 W 3 0 W 0 W 2 0 W 0 W 1 0 W 0 W 0 0 W 0 W
P8 4 DDR P8 3 DDR P8 2 DDR P8 1 DDR P8 0 DDR
Reserved bits
Port 8 data direction 4 to 0 These bits select input or output for port 8 pins
Modes 1 to 6 (Expanded Modes): When bits in P8DDR bit are set to 1, P84 to P81 become CS0 to CS3 output pins. When bits in P8DDR are cleared to 0, the corresponding pins become input ports. In modes 1 to 4 (expanded modes with on-chip ROM disabled), following a reset only CS0 is output. The other three pins are input ports. In modes 5 and 6 (expanded modes with on-chip ROM enabled), following a reset all four pins are input ports. When the refresh controller is enabled, P80 is used unconditionally for RFSH output. When the refresh controller is disabled, P80 becomes a generic input/output port according to the P8DDR setting. For details see table 9-15. Mode 7 (Single-Chip Mode): Port 8 is a generic input/output port. A pin in port 8 becomes an output port if the corresponding P8DDR bit is set to 1, and an input port if this bit is cleared to 0. P8DDR is a write-only register. Its value cannot be read. All bits return 1 when read.
268
P8DDR is initialized to H'E0 or H'F0 by a reset and in hardware standby mode. The reset value depends on the operating mode. In software standby mode P8DDR retains its previous setting. If a P8DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 8 Data Register (P8DR): P8DR is an 8-bit readable/writable register that stores output data for pins P84 to P80. When a bit in P8DDR is set to 1, if port 8 is read the value of the corresponding P8DR bit is returned. When a bit in P8DDR is cleared to 0, if port 8 is read the corresponding pin level is read.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- Reserved bits 5 -- 1 -- 4 P8 4 0 R/W 3 P8 3 0 R/W 2 P8 2 0 R/W 1 P8 1 0 R/W 0 P8 0 0 R/W
Port 8 data 4 to 0 These bits store data for port 8 pins
Bits 7 to 5 are reserved. They cannot be modified and always are read as 1. P8DR is initialized to H'E0 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
269
Table 9-14 Port 8 Pin Functions in Modes 1 to 6
Pin P84/CS0 Pin Functions and Selection Method Bit P84DDR selects the pin function as follows P84DDR Pin function P83/CS1/IRQ3 0 P84 input 1 CS0 output
Bit P83DDR selects the pin function as follows P83DDR Pin function 0 P83 input IRQ3 input 1 CS1 output
P82/CS2/IRQ2
Bit P82DDR selects the pin function as follows P82DDR Pin function 0 P82 input IRQ2 input 1 CS2 output
P81/CS3/IRQ1
Bit P81DDR selects the pin function as follows P81DDR Pin function 0 P81 input IRQ1 input 1 CS3 output
P80/RFSH/IRQ0
Bit RFSHE in RFSHCR and bit P80DDR select the pin function as follows RFSHE P80DDR Pin function 0 P80 input 0 1 P80 output IRQ0 input 1 -- RFSH output
270
Table 9-15 Port 8 Pin Functions in Mode 7
Pin P84 Pin Functions and Selection Method Bit P84DDR selects the pin function as follows P84DDR Pin function P83/IRQ3 0 P84 input 1 P84 output
Bit P83DDR selects the pin function as follows P83DDR Pin function 0 P83 input IRQ3 input 1 P83 output
P82/IRQ2
Bit P82DDR selects the pin function as follows P82DDR Pin function 0 P82 input IRQ2 input 1 P82 output
P81/IRQ1
Bit P81DDR selects the pin function as follows P81DDR Pin function 0 P81 input IRQ1 input 1 P81 output
P80/IRQ0
Bit P80DDR select the pin function as follows P80DDR Pin function 0 P80 input IRQ0 input 1 P80 output
271
9.10 Port 9
9.10.1 Overview Port 9 is a 6-bit input/output port that is also used for input and output (TxD0, TxD1, RxD0, RxD1, SCK0, SCK1) by serial communication interface channels 0 and 1 (SCI0 and SCI1), and for IRQ5 and IRQ4 input. See table 9-17 for the selection of pin functions. The IRQ5 and IRQ4 functions are selected by IER settings, regardless of whether the pin is used for input or output. For details see section 5, Interrupt Controller. Port 9 has the same set of pin functions in all operating modes. Figure 9-9 shows the pin configuration of port 9. Pins in port 9 can drive one TTL load and a 30-pF capacitive load. They can also drive a darlington transistor pair.
Port 9 pins P95 (input/output)/SCK 1 (input/output)/IRQ 5 (input) P94 (input/output)/SCK 0 (input/output)/IRQ 4 (input) Port 9 P93 (input/output)/RxD1 (input) P92 (input/output)/RxD0 (input) P91 (input/output)/TxD1 (output) P90 (input/output)/TxD0 (output)
Figure 9-9 Port 9 Pin Configuration 9.10.2 Register Descriptions Table 9-16 summarizes the registers of port 9. Table 9-16 Port 9 Registers
Address* H'FFD0 H'FFD2 Name Port 9 data direction register Port 9 data register Abbreviation P9DDR P9DR R/W W R/W Initial Value H'C0 H'C0
Note: * Lower 16 bits of the address. 272
Port 9 Data Direction Register (P9DDR): P9DDR is an 8-bit write-only register that can select input or output for each pin in port 9.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 0 W 4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P9 5 DDR P9 4 DDR P9 3 DDR P9 2 DDR P9 1 DDR P9 0 DDR
Reserved bits
Port 9 data direction 5 to 0 These bits select input or output for port 9 pins
A pin in port 9 becomes an output port if the corresponding P9DDR bit is set to 1, and an input port if this bit is cleared to 0. P9DDR is a write-only register. Its value cannot be read. All bits return 1 when read. P9DDR is initialized to H'C0 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. If a P9DDR bit is set to 1, the corresponding pin maintains its output state in software standby mode. Port 9 Data Register (P9DR): P9DR is an 8-bit readable/writable register that stores output data for pins P95 to P90. When a bit in P9DDR is set to 1, if port 9 is read the value of the corresponding P9DR bit is returned. When a bit in P9DDR is cleared to 0, if port 9 is read the corresponding pin level is read.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 P9 5 0 R/W 4 P9 4 0 R/W 3 P9 3 0 R/W 2 P9 2 0 R/W 1 P9 1 0 R/W 0 P9 0 0 R/W
Reserved bits
Port 9 data 5 to 0 These bits store data for port 9 pins
Bits 7 and 6 are reserved. They cannot be modified and are always read as 1. P9DR is initialized to H'C0 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
273
Table 9-17 Port 9 Pin Functions
Pin P95/SCK1/IRQ5 Pin Functions and Selection Method Bit C/A in SMR of SCI1, bits CKE0 and CKE1 in SCR of SCI1, and bit P95DDR select the pin function as follows CKE1 C/A CKE0 P95DDR Pin function 0 0 1 0 1 -- 0 1 -- -- SCK1 output 1 -- -- -- SCK1 input
P95 SCK1 output P95 input output
IRQ5 input P94/SCK0/IRQ4 Bit C/A in SMR of SCI0, bits CKE0 and CKE1 in SCR of SCI0, and bit P94DDR select the pin function as follows CKE1 C/A CKE0 P94DDR Pin function 0 0 1 0 1 -- 0 1 -- -- SCK0 output 1 -- -- -- SCK0 input
P94 SCK0 output P94 input output
IRQ4 input P93/RxD1 Bit RE in SCR of SCI1 and bit P93DDR select the pin function as follows RE P93DDR Pin function P92/RxD0 0 P93 input 0 1 P93 output 1 -- RxD1 input
Bit RE in SCR of SCI0, bit SMIF in SCMR, and bit P92DDR select the pin function as follows SMIF RE P92DDR Pin function 0 P92 input 0 1 P92 output 0 1 -- RxD0 input 1 -- -- RxD0 input
274
Table 9-17 Port 9 Pin Functions (cont)
Pin P91/TxD1 Pin Functions and Selection Method Bit TE in SCR of SCI1 and bit P91DDR select the pin function as follows TE P91DDR Pin function P90/TxD0 0 P91 input 0 1 P91 output 1 -- TxD1 output
Bit TE in SCR of SCI0, bit SMIF in SCMR, and bit P90DDR select the pin function as follows SMIF TE P90DDR Pin function 0 P90 input 0 1 P90 output 0 1 -- TxD0 output 1 -- -- TxD0 output*
Note: * Functions as the TxD0 output pin, but there are two states: one in which the pin is driven, and another in which the pin is at highimpedance.
275
9.11 Port A
9.11.1 Overview Port A is an 8-bit input/output port that is also used for output (TP7 to TP0) from the programmable timing pattern controller (TPC), input and output (TIOCB2, TIOCA2, TIOCB1, TIOCA1, TIOCB0, TIOCA0, TCLKD, TCLKC, TCLKB, TCLKA) by the 16-bit integrated timer unit (ITU), output (TEND1, TEND0) from the DMA controller (DMAC), CS4 to CS6 output, and address output (A23 to A20). A reset or hardware standby leaves port A as an input port, except that in modes 3, 4, and 6, one pin is always used for A20 output. Usage of pins for TPC, ITU, and DMAC input and output is described in the sections on those modules. For output of address bits A23 to A21 in modes 3, 4, and 6, see section 6.2.5, Bus Release Control Register (BRCR). For output of CS4 to CS6 in modes 1 to 6, see section 6.3.2, Chip Select Signals. Pins not assigned to any of these functions are available for generic input/output. Figure 9-10 shows the pin configuration of port A. Pins in port A can drive one TTL load and a 30-pF capacitive load. They can also drive a darlington transistor pair. Port A has Schmitt-trigger inputs.
276
Port A pins PA 7/TP7 /TIOCB2 /A 20 PA 6/TP6 /TIOCA2 /A21/CS4 (output) PA 5/TP5 /TIOCB1 /A22/CS5 (output) PA 4/TP4 /TIOCA1 /A23/CS6 (output) Port A PA 3/TP3 /TIOCB 0 /TCLKD PA 2/TP2 /TIOCA 0 /TCLKC PA 1/TP1 /TEND1 /TCLKB PA 0/TP0 /TEND0 /TCLKA Pin functions in modes 1, 2, and 5 PA 7 (input/output)/TP7 (output)/TIOCB 2 (input/output) PA 6 (input/output)/TP6 (output)/TIOCA 2 (input/output)/CS4 (output) PA 5 (input/output)/TP5 (output)/TIOCB 1 (input/output)/CS5(output) PA 4 (input/output)/TP4 (output)/TIOCA 1 (input/output)/CS6(output) PA 3 (input/output)/TP3 (output)/TIOCB 0 (input/output)/TCLKD (input) PA 2 (input/output)/TP2 (output)/TIOCA 0 (input/output)/TCLKC (input) PA 1 (input/output)/TP1 (output)/TEND 1 (output)/TCLKB (input) PA 0 (input/output)/TP0 (output)/TEND 0 (output)/TCLKA (input) Pin functions in modes 3, 4, and 6 A20 PA 6 (input/output)/TP6 (output)/TIOCA 2 (input/output)/A 21 (output)/CS4 (output) PA 5 (input/output)/TP5 (output)/TIOCB 1 (input/output)/A 22 (output)/CS5 (output) PA 4 (input/output)/TP4 (output)/TIOCA 1 (input/output)/A 23 (output)/CS6 (output) PA 3 (input/output)/TP3 (output)/TIOCB 0 (input/output)/TCLKD (input) PA 2 (input/output)/TP2 (output)/TIOCA 0 (input/output)/TCLKC (input) PA 1 (input/output)/TP1 (output)/TEND 1 (output)/TCLKB (input) PA 0 (input/output)/TP0 (output)/TEND 0 (output)/TCLKA (input) Pin functions in mode 7 PA7 (input/output)/TP7 (output)/TIOCB2 (input/output) PA6 (input/output)/TP6 (output)/TIOCA2 (input/output) PA5 (input/output)/TP5 (output)/TIOCB1 (input/output) PA4 (input/output)/TP4 (output)/TIOCA1 (input/output) PA3 (input/output)/TP3 (output)/TIOCB0 (input/output)/TCLKD (input) PA2 (input/output)/TP2 (output)/TIOCA0 (input/output)/TCLKC (input) PA1 (input/output)/TP1 (output)/TEND1 (output)/TCLKB (input) PA0 (input/output)/TP0 (output)/TEND0 (output)/TCLKA (input)
Figure 9-10 Port A Pin Configuration
277
9.11.2 Register Descriptions Table 9-18 summarizes the registers of port A. Table 9-18 Port A Registers
Abbreviation PADDR PADR Initial Value R/W W R/W Modes 1, 2, 5 and 7 H'00 H'00 Modes 3, 4, and 6 H'80 H'00
Address* H'FFD1 H'FFD3
Name Port A data direction register Port A data register
Note: * Lower 16 bits of the address.
Port A Data Direction Register (PADDR): PADDR is an 8-bit write-only register that can select input or output for each pin in port A. When pins are used for TPC output, the corresponding PADDR bits must also be set.
Bit Modes 3, 4, and 6 Modes 1, 2, 5, and 7 Initial value Initial value 7 1 0 6 0 W 0 W 5 0 W 0 W 4 0 W 0 W 3 0 W 0 W 2 0 W 0 W 1 0 W 0 W 0 0 W 0 W
PA7 DDR PA6 DDR PA5 DDR PA4 DDR PA3 DDR PA2 DDR PA1 DDR PA0 DDR Read/Write -- Read/Write W
Port A data direction 7 to 0 These bits select input or output for port A pins
A pin in port A becomes an output pin if the corresponding PADDR bit is set to 1, and an input pin if this bit is cleared to 0. In modes 3, 4, and 6, PA7DDR is fixed at 1 and PA7 functions as an address output pin. PADDR is a write-only register. Its value cannot be read. All bits return 1 when read. PADDR is initialized to H'00 by a reset and in hardware standby mode in modes 1, 2, 5, and 7. It is initialized to H'80 by a reset and in hardware standby mode in modes 3, 4, and 6. In software standby mode it retains its previous setting. If a PADDR bit is set to 1, the corresponding pin maintains its output state in software standby mode.
278
Port A Data Register (PADR): PADR is an 8-bit readable/writable register that stores output data for pins PA7 to PA0. When a bit in PADDR is set to 1, if port A is read the value of the corresponding PADR bit is returned. When a bit in PADDR is cleared to 0, if port A is read the corresponding pin level is read.
Bit Initial value Read/Write 7 PA 7 0 R/W 6 PA 6 0 R/W 5 PA 5 0 R/W 4 PA 4 0 R/W 3 PA 3 0 R/W 2 PA 2 0 R/W 1 PA 1 0 R/W 0 PA 0 0 R/W
Port A data 7 to 0 These bits store data for port A pins
PADR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. 9.11.3 Pin Functions Table 9-19 describes the selection of pin functions. Table 9-19 Port A Pin Functions
Pin PA7/TP7/ TIOCB2/A20 Pin Functions and Selection Method The mode setting, ITU channel 2 settings (bit PWM2 in TMDR and bits IOB2 to IOB0 in TIOR2), bit NDER7 in NDERA, and bit PA7DDR in PADDR select the pin function as follows Mode ITU channel 2 settings PA7DDR NDER7 Pin function (1) in table below -- -- TIOCB2 output 0 -- PA7 input 1, 2, 5, 7 (2) in table below 1 0 PA7 output 1 1 TP7 output 3, 4, 6 -- -- -- A20 output
TIOCB2 input* Note: * TIOCB2 input when IOB2 = 1 and PWM2 = 0. ITU channel 2 settings IOB2 IOB1 IOB0 0 0 (2) 0 0 1 1 -- (1) (2) 1 -- --
279
Table 9-19 Port A Pin Functions (cont)
Pin PA6/TP6/ TIOCA2/ A21/CS4 Pin Functions and Selection Method The mode setting, bit A21E in BRCR, bit CS4E in CSCR, ITU channel 2 settings (bit PWM2 in TMDR and bits IOA2 to IOA0 in TIOR2), bit NDER6 in NDERA, and bit PA6DDR in PADDR select the pin function as follows
Mode CS4E A21E ITU channel 2 settings PA6DDR NDER6 Pin function 1, 2, 5 0 -- (2) in table below 1 -- -- 3, 4, 6 0 1 -- -- 7 -- -- (2) in table below
(1) in table below -- 0 1 1 -- -- -- 0 1 -- TIOCA2 PA6 PA6 TP6 CS4 output input output output output TIOCA2 input*
1 0 (1) in (2) in table -- table below below -- 0 1 1 ---- -- -- 0 1 ---- TIOCA2 PA6 PA6 TP6 A21 CS4 output input output output output output TIOCA2 input*
(1) in table below -- 0 1 1 -- -- 0 1 TIOCA2 PA6 PA6 TP6 output input output output TIOCA2 input*
Note: * TIOCA2 input when IOA2 = 1. ITU channel 2 settings PWM2 IOA2 IOA1 IOA0 PA5/TP5/ TIOCB1/ A22/CS5 (2) 0 0 1 (1) 0 1 -- (2) 1 -- -- (1) 1 -- -- --
0 0
The mode setting, bit A22E in BRCR, bit CS5E in CSCR, ITU channel 1 settings (bit PWM1 in TMDR and bits IOB2 to IOB0 in TIOR1), bit NDER5 in NDERA, and bit PA5DDR in PADDR select the pin function as follows
Mode CS5E A22E ITU channel 1 settings PA5DDR NDER5 Pin function 1, 2, 5 0 -- (2) in table below 1 -- -- 3, 4, 6 0 1 -- -- 7 -- -- (2) in table below
(1) in table below -- 0 1 1 -- -- -- 0 1 -- TIOCB1 PA5 PA5 TP5 CS5 output input output output output TIOCB1 input*
1 0 (1) in (2) in table -- table below below -- 0 1 1 ---- -- -- 0 1 ---- TIOCB1 PA5 PA5 TP5 A22 CS5 output input output output output output TIOCB1 input*
(1) in table below -- 0 1 1 -- -- 0 1 TIOCB1 PA5 PA5 TP5 output input output output TIOCB1 input*
Note: * TIOCB1 input when IOB2 = 1 and PWM1 = 0. ITU channel 1 settings IOB2 IOB1 IOB0 (2) 0 0 0 0 1 280 1 -- (1) (2) 1 -- --
Table 9-19 Port A Pin Functions (cont)
Pin PA4/TP4/ TIOCA1/ A23/CS6 Pin Functions and Selection Method The mode setting, bit A23E in BRCR, bit CS6E in CSCR, ITU channel 1 settings (bit PWM1 in TMDR and bits IOA2 to IOA0 in TIOR1), bit NDER4 in NDERA, and bit PA4DDR in PADDR select the pin function as follows
Mode CS6E A23E ITU channel 2 settings PA4DDR NDER4 Pin function 1, 2, 5 0 -- (2) in table below 1 -- -- 3, 4, 6 0 1 -- -- 7 -- -- (2) in table below
(1) in table below -- 0 1 1 -- -- -- 0 1 -- TIOCA1 PA4 PA4 TP4 CS6 output input output output output TIOCA1 input*
1 0 (1) in (2) in table -- table below below -- 0 1 1 ---- -- -- 0 1 ---- TIOCA1 PA4 PA4 TP4 A23 CS6 output input output output output output TIOCA1 input*
(1) in table below -- 0 1 1 -- -- 0 1 TIOCA1 PA4 PA4 TP4 output input output output TIOCA1 input*
Note: * TIOCA1 input when IOA2 = 1. ITU channel 1 settings PWM1 IOA2 IOA1 IOA0 PA3/TP3/ TIOCB0/ TCLKD (2) 0 0 1 (1) 0 1 -- (2) 1 -- -- (1) 1 -- -- --
0 0
ITU channel 0 settings (bit PWM0 in TMDR and bits IOB2 to IOB0 in TIOR0), bits TPSC2 to TPSC0 in TCR4 to TCR0, bit NDER3 in NDERA, and bit PA3DDR in PADDR select the pin function as follows ITU channel 0 settings PA3DDR NDER3 Pin function (1) in table below -- -- TIOCB0 output (2) in table below 0 1 1 -- 0 1 PA3 input PA3 output TP3 output TIOCB0 input*1 *2 TCLKD input
Notes: 1. TIOCB0 input when IOB2 = 1 and PWM0 = 0. 2. TCLKD input when TPSC2 = TPSC1 = TPSC0 = 1 in any of TCR4 to TCR0. ITU channel 0 settings IOB2 IOB1 IOB0 (2) 0 0 0 0 1 1 -- (1) (2) 1 -- --
281
Table 9-19 Port A Pin Functions (cont)
Pin PA2/TP2/ TIOCA0/ TCLKC Pin Functions and Selection Method ITU channel 0 settings (bit PWM0 in TMDR and bits IOA2 to IOA0 in TIOR0), bits TPSC2 to TPSC0 in TCR4 to TCR0, bit NDER2 in NDERA, and bit PA2DDR in PADDR select the pin function as follows ITU channel 0 settings PA2DDR NDER2 Pin function (1) in table below -- -- TIOCA0 output (2) in table below 0 1 1 -- 0 1 PA2 input PA2 output TP2 output TIOCA0 input*1 TCLKC input*2
Notes: 1. TIOCA0 input when IOA2 = 1. 2. TCLKC input when TPSC2 = TPSC1 = 1 and TPSC0 = 0 in any of TCR4 to TCR0. ITU channel 0 settings PWM0 IOA2 IOA1 IOA0 (2) 0 0 1 (1) 0 1 -- (2) 1 -- -- (1) 1 -- -- --
0 0
282
Table 9-19 Port A Pin Functions (cont)
Pin PA1/TP1/ TCLKB/ TEND1 Pin Functions and Selection Method DMAC channel 1 settings (bits DTS2/1/0A and DTS2/1/0B in DTCR1A and DTCR1B), bit NDER1 in NDERA, and bit PA1DDR in PADDR select the pin function as follows DMAC channel 1 settings PA1DDR NDER1 Pin function
(1) in table below -- -- TEND1 output
(2) in table below 0 1 1 -- 0 1 PA1 input PA1 output TP1 output TCLKB input*
Note: * TCLKB input when MDF = 1 in TMDR, or when TPSC2 = 1, TPSC1 = 0, and TPSC0 = 1 in any of TCR4 to TCR0. DMAC channel 1 settings DTS2A, DTS1A DTS0A DTS2B DTS1B PA0/TP0/ TCLKA/ TEND0
(2) Not both 1 -- 0 1 -- 0
(1)
(2) 0 0 --
(1) 0 1 --
1 1
(2) Both 1 1 0 --
(1) 1 1 0 1 1 1
DMAC channel 0 settings (bits DTS2/1/0A and DTS2/1/0B in DTCR0A and DTCR0B), bit NDER0 in NDERA, and bit PA0DDR in PADDR select the pin function as follows DMAC channel 0 settings PA0DDR NDER0 Pin function
(1) in table below -- -- TEND0 output
(2) in table below 0 1 1 -- 0 1 PA0 input PA0 output TP0 output TCLKA input*
Note: * TCLKA input when MDF = 1 in TMDR, or when TPSC2 = 1 and TPSC1 = 0 in any of TCR4 to TCR0. DMAC channel 0 settings DTS2A, DTS1A DTS0A DTS2B DTS1B
(2) Not both 1 -- 0 1 -- 0
(1)
(2) 0 0 --
(1) 0 1 --
1 1
(2) Both 1 1 0 --
(1) 1 1 0 1 1 1
283
9.12 Port B
9.12.1 Overview Port B is an 8-bit input/output port that is also used for output (TP15 to TP8) from the programmable timing pattern controller (TPC), input/output (TIOCB4, TIOCB3, TIOCA4, TIOCA3) and output (TOCXB4, TOCXA4) by the 16-bit integrated timer unit (ITU), input (DREQ1, DREQ0) to the DMA controller (DMAC), ADTRG input to the A/D converter, and CS7 output. A reset or hardware standby leaves port B as an input port. Usage of pins for TPC, ITU, DMAC, and A/D converter input and output is described in the sections on those modules. For output of CS7 in modes 1 to 6, see section 6.3.2, Chip Select Signals. Pins not assigned to any of these functions are available for generic input/output. Figure 9-11 shows the pin configuration of port B. Pins in port B can drive one TTL load and a 30-pF capacitive load. They can also drive an LED or darlington transistor pair. Pins PB3 to PB0 have Schmitt-trigger inputs.
284
Port B pins PB7/TP15/DREQ1/ADTRG PB6/TP14/DREQ0/CS7 PB5/TP13/TOCXB4 PB4/TP12/TOCXA4 Port B PB3/TP11/TIOCB4 PB2/TP10/TIOCA4 PB1/TP9/TIOCB3 PB0/TP8/TIOCA3 Pin functions in modes 1 to 6 PB7 (input/output)/TP15 (output)/DREQ1 (input)/ADTRG (input) PB6 (input/output)/TP14 (output)/DREQ0 (input)/CS7 (output) PB5 (input/output)/TP13 (output)/TOCXB4 (output) PB4 (input/output)/TP12 (output)/TOCXA4 (output) PB3 (input/output)/TP11 (output)/TIOCB4 (input/output) PB2 (input/output)/TP10 (output)/TIOCA4 (input/output) PB1 (input/output)/TP9 (output)/TIOCB3 (input/output) PB0 (input/output)/TP8 (output)/TIOCA3 (input/output) Pin functions in mode 7 PB7 (input/output)/TP15 (output)/DREQ1 (input)/ADTRG (input) PB6 (input/output)/TP14 (output)/DREQ0 (input) PB5 (input/output)/TP13 (output)/TOCXB4 (output) PB4 (input/output)/TP12 (output)/TOCXA4 (output) PB3 (input/output)/TP11 (output)/TIOCB4 (input/output) PB2 (input/output)/TP10 (output)/TIOCA4 (input/output) PB1 (input/output)/TP9 (output)/TIOCB3 (input/output) PB0 (input/output)/TP8 (output)/TIOCA3 (input/output)
Figure 9-11 Port B Pin Configuration
285
9.12.2 Register Descriptions Table 9-20 summarizes the registers of port B. Table 9-20 Port B Registers
Address* H'FFD4 H'FFD6 Name Port B data direction register Port B data register Abbreviation PBDDR PBDR R/W W R/W Initial Value H'00 H'00
Note: * Lower 16 bits of the address.
Port B Data Direction Register (PBDDR): PBDDR is an 8-bit write-only register that can select input or output for each pin in port B. When pins are used for TPC output, the corresponding PBDDR bits must also be set.
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
PB7 DDR PB6 DDR PB5 DDR PB4 DDR PB3 DDR PB2 DDR PB1 DDR PB0 DDR
Port B data direction 7 to 0 These bits select input or output for port B pins
A pin in port B becomes an output pin if the corresponding PBDDR bit is set to 1, and an input pin if this bit is cleared to 0. PBDDR is a write-only register. Its value cannot be read. All bits return 1 when read. PBDDR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting. If a PBDDR bit is set to 1, the corresponding pin maintains its output state in software standby mode.
286
Port B Data Register (PBDR): PBDR is an 8-bit readable/writable register that stores output data for pins PB7 to PB0. When a bit in PBDDR is set to 1, if port B is read the value of the corresponding PBDR bit is returned. When a bit in PBDDR is cleared to 0, if port B is read the corresponding pin level is read.
Bit Initial value Read/Write 7 PB 7 0 R/W 6 PB 6 0 R/W 5 PB 5 0 R/W 4 PB 4 0 R/W 3 PB 3 0 R/W 2 PB 2 0 R/W 1 PB 1 0 R/W 0 PB 0 0 R/W
Port B data 7 to 0 These bits store data for port B pins
PBDR is initialized to H'00 by a reset and in hardware standby mode. In software standby mode it retains its previous setting.
287
9.12.3 Pin Functions Table 9-21 describes the selection of pin functions. Table 9-21 Port B Pin Functions
Pin PB7/ TP15/ DREQ1/ ADTRG Pin Functions and Selection Method DMAC channel 1 settings (bits DTS2/1/0A and DTS2/1/0B in DTCR1A and DTCR1B), bit TRGE in ADCR, bit NDER15 in NDERB, and bit PB7DDR in PBDDR select the pin function as follows PB7DDR NDER15 Pin function 0 -- PB7 input 1 0 PB7 output DREQ1 input*1 ADTRG input*2 Notes: 1. DREQ1 input under DMAC channel 1 settings (1) in the table below. 2. ADTRG input when TRGE = 1. DMAC channel 1 settings DTS2A, DTS1A DTS0A DTS2B DTS1B 0 -- 1 1 TP15 output
(2) Not both 1 -- 1 0
(1)
(2) 0
(1) 0 1 -- 1 0 --
(2) Both 1 1 1 0
(1) 1 1 1
1 1
0 --
288
Table 9-21 Port B Pin Functions (cont)
Pin PB6/ TP14/ DREQ0/ CS7 Pin Functions and Selection Method Bit CS7E in CSCR, DMAC channel 0 settings (bits DTS2/1/0A and DTS2/1/0B in DTCR0A and DTCR0B), bit NDER14 in NDERB, and bit PB6DDR in PBDDR select the pin function as follows PB6DDR CS7E NDER14 Pin function 0 0 -- PB6 input 1 0 0 PB6 output DREQ0 input* 1 0 1 TP14 output -- 1 -- -- CS7 output
Note: * DREQ0 input under DMAC channel 0 settings (1) in the table below. DMAC channel 0 settings DTS2A, DTS1A DTS0A DTS2B DTS1B PB5/ TP13/ TOCXB4 0 --
(2) Not both 1 -- 1 0
(1)
(2) 0
(1) 0 1 -- 1 0 --
(2) Both 1 1 1 0
(1) 1 1 1
1 1
0 --
ITU channel 4 settings (bit CMD1 in TFCR and bit EXB4 in TOER), bit NDER13 in NDERB, and bit PB5DDR in PBDDR select the pin function as follows EXB4, CMD1 PB5DDR NDER13 Pin function 0 -- PB5 input Not both 1 1 0 1 1 Both 1 -- -- TOCXB4 output
PB5 output TP13 output
PB4/ TP12/ TOCXA4
ITU channel 4 settings (bit CMD1 in TFCR and bit EXA4 in TOER), bit NDER12 in NDERB, and bit PB4DDR in PBDDR select the pin function as follows EXA4, CMD1 PB4DDR NDER12 Pin function 0 -- PB4 input Not both 1 1 0 1 1 Both 1 -- -- TOCXA4 output
PB4 output TP12 output
289
Table 9-21 Port B Pin Functions (cont)
Pin PB3/ TP11/ TIOCB4 Pin Functions and Selection Method ITU channel 4 settings (bit PWM4 in TMDR, bit CMD1 in TFCR, bit EB4 in TOER, and bits IOB2 to IOB0 in TIOR4), bit NDER11 in NDERB, and bit PB3DDR in PBDDR select the pin function as follows ITU channel 4 settings PB3DDR NDER11 Pin function
(1) in table below -- -- TIOCB4 output 0 --
(2) in table below 1 0 TIOCB4 input* 1 1
PB3 input PB3 output TP11 output
Note: * TIOCB4 input when CMD1 = PWM4 = 0 and IOB2 = 1. ITU channel 4 settings EB4 CMD1 IOB2 IOB1 IOB0
(2) 0 -- -- -- --
(2)
(1) 1 0
(2)
(1) 1
0 0 0
0 0 1
0 1 --
1 -- --
-- -- --
290
Table 9-21 Port B Pin Functions (cont)
Pin PB2/ TP10/ TIOCA4 Pin Functions and Selection Method ITU channel 4 settings (bit CMD1 in TFCR, bit EA4 in TOER, bit PWM4 in TMDR, and bits IOA2 to IOA0 in TIOR4), bit NDER10 in NDERB, and bit PB2DDR in PBDDR select the pin function as follows ITU channel 4 settings PB2DDR NDER10 Pin function
(1) in table below -- -- TIOCA4 output 0 --
(2) in table below 1 0 TIOCA4 input* 1 1
PB2 input PB2 output TP10 output
Note: * TIOCA4 input when CMD1 = PWM4 = 0 and IOA2 = 1. ITU channel 4 settings EA4 CMD1 PWM4 IOA2 IOA1 IOA0
(2) 0 -- -- -- -- --
(2)
(1) 1 0 0
(2)
(1) 1 1 -- -- -- --
0 0 0
0 0 1
0 1 --
1 -- --
-- -- --
291
Table 9-21 Port B Pin Functions (cont)
Pin Pin Functions and Selection Method
PB1/TP9/ ITU channel 3 settings (bit PWM3 in TMDR, bit CMD1 in TFCR, bit EB3 in TOER, and TIOCB3 bits IOB2 to IOB0 in TIOR3), bit NDER9 in NDERB, and bit PB1DDR in PBDDR select the pin function as follows ITU channel 3 settings PB1DDR NDER9 Pin function
(1) in table below -- -- TIOCB3 output 0 --
(2) in table below 1 0 TIOCB3 input* 1 1
PB1 input PB1 output TP9 output
Note: * TIOCB3 input when CMD1 = PWM3 = 0 and IOB2 = 1. ITU channel 3 settings EB3 CMD1 IOB2 IOB1 IOB0
(2) 0 -- -- -- --
(2)
(1) 1 0
(2)
(1) 1
0 0 0
0 0 1
0 1 --
1 -- --
-- -- --
292
Table 9-21 Port B Pin Functions (cont)
Pin Pin Functions and Selection Method
PB0/TP8/ ITU channel 3 settings (bit CMD1 in TFCR, bit EA3 in TOER, bit PWM3 in TMDR, and TIOCA3 bits IOA2 to IOA0 in TIOR3), bit NDER8 in NDERB, and bit PB0DDR in PBDDR select the pin function as follows ITU channel 3 settings PB0DDR NDER8 Pin function
(1) in table below -- -- TIOCA3 output 0 --
(2) in table below 1 0 TIOCA3 input* 1 1
PB0 input PB0 output TP8 output
Note: * TIOCA3 input when CMD1 = PWM3 = 0 and IOA2 = 1. ITU channel 3 settings EA3 CMD1 PWM3 IOA2 IOA1 IOA0
(2) 0 -- -- -- -- --
(2)
(1) 1 0 0
(2)
(1) 1 1 -- -- -- --
0 0 0
0 0 1
0 1 --
1 -- --
-- -- --
293
Section 10 16-Bit Integrated Timer Unit (ITU)
10.1 Overview
The H8/3048 Series has a built-in 16-bit integrated timer unit (ITU) with five 16-bit timer channels. When the ITU is not used, it can be independently halted to conserve power. For details see section 20.6, Module Standby Function. 10.1.1 Features ITU features are listed below. * * Capability to process up to 12 pulse outputs or 10 pulse inputs Ten general registers (GRs, two per channel) with independently-assignable output compare or input capture functions Selection of eight counter clock sources for each channel: Internal clocks: o, o/2, o/4, o/8 External clocks: TCLKA, TCLKB, TCLKC, TCLKD * Five operating modes selectable in all channels: -- Waveform output by compare match Selection of 0 output, 1 output, or toggle output (only 0 or 1 output in channel 2) -- Input capture function Rising edge, falling edge, or both edges (selectable) -- Counter clearing function Counters can be cleared by compare match or input capture -- Synchronization Two or more timer counters (TCNTs) can be preset simultaneously, or cleared simultaneously by compare match or input capture. Counter synchronization enables synchronous register input and output.
*
295
-- PWM mode PWM output can be provided with an arbitrary duty cycle. With synchronization, up to five-phase PWM output is possible * Phase counting mode selectable in channel 2 Two-phase encoder output can be counted automatically. * Three additional modes selectable in channels 3 and 4 -- Reset-synchronized PWM mode If channels 3 and 4 are combined, three-phase PWM output is possible with three pairs of complementary waveforms. -- Complementary PWM mode If channels 3 and 4 are combined, three-phase PWM output is possible with three pairs of non-overlapping complementary waveforms. -- Buffering Input capture registers can be double-buffered. Output compare registers can be updated automatically. * High-speed access via internal 16-bit bus The 16-bit timer counters, general registers, and buffer registers can be accessed at high speed via a 16-bit bus. * Fifteen interrupt sources Each channel has two compare match/input capture interrupts and an overflow interrupt. All interrupts can be requested independently. * Activation of DMA controller (DMAC) Four of the compare match/input capture interrupts from channels 0 to 3 can start the DMAC. * Output triggering of programmable timing pattern controller (TPC) Compare match/input capture signals from channels 0 to 3 can be used as TPC output triggers.
296
Table 10-1 summarizes the ITU functions. Table 10-1 ITU Functions
Item Clock sources General registers (output compare/input capture registers) Buffer registers Input/output pins Output pins Counter clearing function Channel 0 Channel 1 Channel 2 Channel 3 Channel 4
Internal clocks: o, o/2, o/4, o/8 External clocks: TCLKA, TCLKB, TCLKC, TCLKD, selectable independently GRA0, GRB0 GRA1, GRB1 GRA2, GRB2 GRA3, GRB3 GRA4, GRB4
-- TIOCA0, TIOCB0 -- GRA0/GRB0 compare match or input capture
-- TIOCA1, TIOCB1 -- GRA1/GRB1 compare match or input capture
-- TIOCA2, TIOCB2 -- GRA2/GRB2 compare match or input capture
BRA3, BRB3 TIOCA3, TIOCB3 -- GRA3/GRB3 compare match or input capture
BRA4, BRB4 TIOCA4, TIOCB4 TOCXA4, TOCXB4 GRA4/GRB4 compare match or input capture
Compare match output
0 1 Toggle --
Input capture function Synchronization PWM mode Reset-synchronized PWM mode Complementary PWM mode Phase counting mode Buffering DMAC activation -- -- -- -- -- -- -- -- -- -- -- -- --
GRA0 compare GRA1 compare GRA2 compare GRA3 compare -- match or match or match or match or input capture input capture input capture input capture Three sources * Compare match/input capture A0 * Compare match/input capture B0 * Overflow Three sources * Compare match/input capture A1 * Compare match/input capture B1 * Overflow Three sources * Compare match/input capture A2 * Compare match/input capture B2 * Overflow Three sources * Compare match/input capture A3 * Compare match/input capture B3 * Overflow Three sources * Compare match/input capture A4 * Compare match/input capture B4 * Overflow
Interrupt sources
Legend : Available --: Not available
297
10.1.2 Block Diagrams ITU Block Diagram (Overall): Figure 10-1 is a block diagram of the ITU.
TCLKA to TCLKD o, o/2, o/4, o/8 TOCXA4, TOCXB4 TIOCA0 to TIOCA4 TIOCB0 to TIOCB4
Clock selector Control logic
IMIA0 to IMIA4 IMIB0 to IMIB4 OVI0 to OVI4
TOER 16-bit timer channel 4 16-bit timer channel 3 16-bit timer channel 2 16-bit timer channel 1 16-bit timer channel 0 TOCR TSTR TSNC TMDR TFCR On-chip data bus Bus interface
Module data bus Legend TOER: TOCR: TSTR: TSNC: TMDR:
Timer output master enable register (8 bits) Timer output control register (8 bits) Timer start register (8 bits) Timer synchro register (8 bits) Timer mode register (8 bits)
Figure 10-1 ITU Block Diagram (Overall)
298
Block Diagram of Channels 0 and 1: ITU channels 0 and 1 are functionally identical. Both have the structure shown in figure 10-2.
TCLKA to TCLKD Clock selector o, o/2, o/4, o/8 Control logic Comparator
TIOCA0 TIOCB0 IMIA0 IMIB0 OVI0
TCNT
TIOR
TIER
GRA
GRB
TCR
Module data bus Legend TCNT: Timer counter (16 bits) GRA, GRB: General registers A and B (input capture/output compare registers) (16 bits x 2)
Figure 10-2 Block Diagram of Channels 0 and 1 (for Channel 0)
299
TSR
Block Diagram of Channel 2: Figure 10-3 is a block diagram of channel 2. This is the channel that provides only 0 output and 1 output.
TCLKA to TCLKD Clock selector o, o/2, o/4, o/8 Control logic Comparator
TIOCA2 TIOCB2 IMIA2 IMIB2 OVI2
TCNT2
TIOR2
TIER2
GRA2
GRB2
TCR2
Module data bus Legend TCNT2: Timer counter 2 (16 bits) GRA2, GRB2: General registers A2 and B2 (input capture/output compare registers) (16 bits x 2)
Figure 10-3 Block Diagram of Channel 2
300
TSR2
Block Diagrams of Channels 3 and 4: Figure 10-4 is a block diagram of channel 3. Figure 10-5 is a block diagram of channel 4.
TCLKA to TCLKD o, o/2, o/4, o/8
Clock selector Control logic Comparator
TIOCA3 TIOCB3
IMIA3 IMIB3 OVI3
TCNT3
TIOR3
TIER3
GRA3
GRB3
BRA3
BRB3
TCR3
Module data bus Legend TCNT3: Timer counter 3 (16 bits) GRA3, GRB3: General registers A3 and B3 (input capture/output compare registers) (16 bits x 2) BRA3, BRB3: Buffer registers A3 and B3 (input capture/output compare buffer registers)
Figure 10-4 Block Diagram of Channel 3
301
TSR3
TCLKA to TCLKD o, o/2, o/4, o/8
Clock selector Control logic Comparator
TOCXA4 TOCXB4 TIOCA4 TIOCB4 IMIA4 IMIB4 OVI4
TCNT4
TIOR4
TIER4
GRA4
GRB4
BRA4
BRB4
TCR4
Module data bus Legend TCNT4: Timer counter 4 (16 bits) GRA4, GRB4: General registers A4 and B4 (input capture/output compare registers) (16 bits x 2) BRA4, BRB4: Buffer registers A4 and B4 (input capture/output compare buffer registers)
Figure 10-5 Block Diagram of Channel 4
302
TSR4
10.1.3 Input/Output Pins Table 10-2 summarizes the ITU pins. Table 10-2 ITU Pins
Channel Name Abbreviation TCLKA TCLKB TCLKC TCLKD TIOCA0 TIOCB0 TIOCA1 TIOCB1 TIOCA2 TIOCB2 TIOCA3 Input/ Output Input Input Input Input Input/ output Input/ output Input/ output Input/ output Input/ output Input/ output Input/ output Function External clock A input pin (phase-A input pin in phase counting mode) External clock B input pin (phase-B input pin in phase counting mode) External clock C input pin External clock D input pin GRA0 output compare or input capture pin PWM output pin in PWM mode GRB0 output compare or input capture pin GRA1 output compare or input capture pin PWM output pin in PWM mode GRB1 output compare or input capture pin GRA2 output compare or input capture pin PWM output pin in PWM mode GRB2 output compare or input capture pin GRA3 output compare or input capture pin PWM output pin in PWM mode, complementary PWM mode, or reset-synchronized PWM mode GRB3 output compare or input capture pin PWM output pin in complementary PWM mode or reset-synchronized PWM mode GRA4 output compare or input capture pin PWM output pin in PWM mode, complementary PWM mode, or reset-synchronized PWM mode GRB4 output compare or input capture pin PWM output pin in complementary PWM mode or reset-synchronized PWM mode PWM output pin in complementary PWM mode or reset-synchronized PWM mode PWM output pin in complementary PWM mode or reset-synchronized PWM mode
Common Clock input A Clock input B Clock input C Clock input D 0 Input capture/output compare A0 Input capture/output compare B0 1 Input capture/output compare A1 Input capture/output compare B1 2 Input capture/output compare A2 Input capture/output compare B2 3 Input capture/output compare A3
Input capture/output compare B3 4 Input capture/output compare A4
TIOCB3
Input/ output Input/ output
TIOCA4
Input capture/output compare B4
TIOCB4
Input/ output Output Output
Output compare XA4 TOCXA4 Output compare XB4 TOCXB4
303
10.1.4 Register Configuration Table 10-3 summarizes the ITU registers. Table 10-3 ITU Registers
Channel Common Address*1 H'FF60 H'FF61 H'FF62 H'FF63 H'FF90 H'FF91 0 H'FF64 H'FF65 H'FF66 H'FF67 H'FF68 H'FF69 H'FF6A H'FF6B H'FF6C H'FF6D 1 H'FF6E H'FF6F H'FF70 H'FF71 H'FF72 H'FF73 H'FF74 H'FF75 H'FF76 H'FF77 Name Timer start register Timer synchro register Timer mode register Timer function control register Timer output master enable register Timer output control register Timer control register 0 Timer I/O control register 0 Timer interrupt enable register 0 Timer status register 0 Timer counter 0 (high) Timer counter 0 (low) General register A0 (high) General register A0 (low) General register B0 (high) General register B0 (low) Timer control register 1 Timer I/O control register 1 Timer interrupt enable register 1 Timer status register 1 Timer counter 1 (high) Timer counter 1 (low) General register A1 (high) General register A1 (low) General register B1 (high) General register B1 (low) Abbreviation TSTR TSNC TMDR TFCR TOER TOCR TCR0 TIOR0 TIER0 TSR0 TCNT0H TCNT0L GRA0H GRA0L GRB0H GRB0L TCR1 TIOR1 TIER1 TSR1 TCNT1H TCNT1L GRA1H GRA1L GRB1H GRB1L R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/(W)*2 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/(W)*2 R/W R/W R/W R/W R/W R/W Initial Value H'E0 H'E0 H'80 H'C0 H'FF H'FF H'80 H'88 H'F8 H'F8 H'00 H'00 H'FF H'FF H'FF H'FF H'80 H'88 H'F8 H'F8 H'00 H'00 H'FF H'FF H'FF H'FF
Notes: 1. The lower 16 bits of the address are indicated. 2. Only 0 can be written, to clear flags.
304
Table 10-3 ITU Registers (cont)
Channel 2 Address*1 H'FF78 H'FF79 H'FF7A H'FF7B H'FF7C H'FF7D H'FF7E H'FF7F H'FF80 H'FF81 3 H'FF82 H'FF83 H'FF84 H'FF85 H'FF86 H'FF87 H'FF88 H'FF89 H'FF8A H'FF8B H'FF8C H'FF8D H'FF8E H'FF8F Name Timer control register 2 Timer I/O control register 2 Timer interrupt enable register 2 Timer status register 2 Timer counter 2 (high) Timer counter 2 (low) General register A2 (high) General register A2 (low) General register B2 (high) General register B2 (low) Timer control register 3 Timer I/O control register 3 Timer interrupt enable register 3 Timer status register 3 Timer counter 3 (high) Timer counter 3 (low) General register A3 (high) General register A3 (low) General register B3 (high) General register B3 (low) Buffer register A3 (high) Buffer register A3 (low) Buffer register B3 (high) Buffer register B3 (low) Abbreviation TCR2 TIOR2 TIER2 TSR2 TCNT2H TCNT2L GRA2H GRA2L GRB2H GRB2L TCR3 TIOR3 TIER3 TSR3 TCNT3H TCNT3L GRA3H GRA3L GRB3H GRB3L BRA3H BRA3L BRB3H BRB3L R/W R/W R/W R/W R/(W)*2 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/(W)*2 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Initial Value H'80 H'88 H'F8 H'F8 H'00 H'00 H'FF H'FF H'FF H'FF H'80 H'88 H'F8 H'F8 H'00 H'00 H'FF H'FF H'FF H'FF H'FF H'FF H'FF H'FF
Notes: 1. The lower 16 bits of the address are indicated. 2. Only 0 can be written, to clear flags.
305
Table 10-3 ITU Registers (cont)
Channel 4 Address*1 H'FF92 H'FF93 H'FF94 H'FF95 H'FF96 H'FF97 H'FF98 H'FF99 H'FF9A H'FF9B H'FF9C H'FF9D H'FF9E H'FF9F Name Timer control register 4 Timer I/O control register 4 Timer interrupt enable register 4 Timer status register 4 Timer counter 4 (high) Timer counter 4 (low) General register A4 (high) General register A4 (low) General register B4 (high) General register B4 (low) Buffer register A4 (high) Buffer register A4 (low) Buffer register B4 (high) Buffer register B4 (low) Abbreviation TCR4 TIOR4 TIER4 TSR4 TCNT4H TCNT4L GRA4H GRA4L GRB4H GRB4L BRA4H BRA4L BRB4H BRB4L R/W R/W R/W R/W R/(W)*2 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Initial Value H'80 H'88 H'F8 H'F8 H'00 H'00 H'FF H'FF H'FF H'FF H'FF H'FF H'FF H'FF
Notes: 1. The lower 16 bits of the address are indicated. 2. Only 0 can be written, to clear flags.
306
10.2 Register Descriptions
10.2.1 Timer Start Register (TSTR) TSTR is an 8-bit readable/writable register that starts and stops the timer counter (TCNT) in channels 0 to 4.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- Reserved bits 5 -- 1 -- 4 STR4 0 R/W 3 STR3 0 R/W 2 STR2 0 R/W 1 STR1 0 R/W 0 STR0 0 R/W
Counter start 4 to 0 These bits start and stop TCNT4 to TCNT0
TSTR is initialized to H'E0 by a reset and in standby mode. Bits 7 to 5--Reserved: Read-only bits, always read as 1. Bit 4--Counter Start 4 (STR4): Starts and stops timer counter 4 (TCNT4).
Bit 4 STR4 0 1 Description TCNT4 is halted TCNT4 is counting (Initial value)
Bit 3--Counter Start 3 (STR3): Starts and stops timer counter 3 (TCNT3).
Bit 3 STR3 0 1 Description TCNT3 is halted TCNT3 is counting (Initial value)
Bit 2--Counter Start 2 (STR2): Starts and stops timer counter 2 (TCNT2).
Bit 2 STR2 0 1 Description TCNT2 is halted TCNT2 is counting (Initial value)
307
Bit 1--Counter Start 1 (STR1): Starts and stops timer counter 1 (TCNT1).
Bit 1 STR1 0 1 Description TCNT1 is halted TCNT1 is counting (Initial value)
Bit 0--Counter Start 0 (STR0): Starts and stops timer counter 0 (TCNT0).
Bit 0 STR0 0 1 Description TCNT0 is halted TCNT0 is counting (Initial value)
10.2.2 Timer Synchro Register (TSNC) TSNC is an 8-bit readable/writable register that selects whether channels 0 to 4 operate independently or synchronously. Channels are synchronized by setting the corresponding bits to 1.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- Reserved bits 5 -- 1 -- 4 SYNC4 0 R/W 3 SYNC3 0 R/W 2 SYNC2 0 R/W 1 SYNC1 0 R/W 0 SYNC0 0 R/W
Timer sync 4 to 0 These bits synchronize channels 4 to 0
TSNC is initialized to H'E0 by a reset and in standby mode. Bits 7 to 5--Reserved: Read-only bits, always read as 1. Bit 4--Timer Sync 4 (SYNC4): Selects whether channel 4 operates independently or synchronously.
Bit 4 SYNC4 0 1 Description Channel 4's timer counter (TCNT4) operates independently TCNT4 is preset and cleared independently of other channels Channel 4 operates synchronously TCNT4 can be synchronously preset and cleared (Initial value)
308
Bit 3--Timer Sync 3 (SYNC3): Selects whether channel 3 operates independently or synchronously.
Bit 3 SYNC3 0 1 Description Channel 3's timer counter (TCNT3) operates independently TCNT3 is preset and cleared independently of other channels Channel 3 operates synchronously TCNT3 can be synchronously preset and cleared (Initial value)
Bit 2--Timer Sync 2 (SYNC2): Selects whether channel 2 operates independently or synchronously.
Bit 2 SYNC2 0 1 Description Channel 2's timer counter (TCNT2) operates independently TCNT2 is preset and cleared independently of other channels Channel 2 operates synchronously TCNT2 can be synchronously preset and cleared (Initial value)
Bit 1--Timer Sync 1 (SYNC1): Selects whether channel 1 operates independently or synchronously.
Bit 1 SYNC1 0 1 Description Channel 1's timer counter (TCNT1) operates independently TCNT1 is preset and cleared independently of other channels Channel 1 operates synchronously TCNT1 can be synchronously preset and cleared (Initial value)
Bit 0--Timer Sync 0 (SYNC0): Selects whether channel 0 operates independently or synchronously.
Bit 0 SYNC0 0 1 Description Channel 0's timer counter (TCNT0) operates independently TCNT0 is preset and cleared independently of other channels Channel 0 operates synchronously TCNT0 can be synchronously preset and cleared (Initial value)
309
10.2.3 Timer Mode Register (TMDR) TMDR is an 8-bit readable/writable register that selects PWM mode for channels 0 to 4. It also selects phase counting mode and the overflow flag (OVF) setting conditions for channel 2.
Bit Initial value Read/Write 7 -- 1 -- 6 MDF 0 R/W 5 FDIR 0 R/W 4 PWM4 0 R/W 3 PWM3 0 R/W 2 PWM2 0 R/W 1 PWM1 0 R/W 0 PWM0 0 R/W
PWM mode 4 to 0 These bits select PWM mode for channels 4 to 0 Flag direction Selects the setting condition for the overflow flag (OVF) in timer status register 2 (TSR2) Phase counting mode flag Selects phase counting mode for channel 2 Reserved bit
TMDR is initialized to H'80 by a reset and in standby mode. Bit 7--Reserved: Read-only bit, always read as 1. Bit 6--Phase Counting Mode Flag (MDF): Selects whether channel 2 operates normally or in phase counting mode.
Bit 6 MDF 0 1 Description Channel 2 operates normally Channel 2 operates in phase counting mode (Initial value)
310
When MDF is set to 1 to select phase counting mode, TCNT2 operates as an up/down-counter and pins TCLKA and TCLKB become counter clock input pins. TCNT2 counts both rising and falling edges of TCLKA and TCLKB, and counts up or down as follows.
Counting Direction TCLKA pin TCLKB pin Low Down-Counting High High Low High Up-Counting Low Low High
In phase counting mode channel 2 operates as above regardless of the external clock edges selected by bits CKEG1 and CKEG0 and the clock source selected by bits TPSC2 to TPSC0 in TCR2. Phase counting mode takes precedence over these settings. The counter clearing condition selected by the CCLR1 and CCLR0 bits in TCR2 and the compare match/input capture settings and interrupt functions of TIOR2, TIER2, and TSR2 remain effective in phase counting mode. Bit 5--Flag Direction (FDIR): Designates the setting condition for the OVF flag in TSR2. The FDIR designation is valid in all modes in channel 2.
Bit 5 FDIR 0 1 Description OVF is set to 1 in TSR2 when TCNT2 overflows or underflows OVF is set to 1 in TSR2 when TCNT2 overflows (Initial value)
Bit 4--PWM Mode 4 (PWM4): Selects whether channel 4 operates normally or in PWM mode.
Bit 4 PWM4 0 1 Description Channel 4 operates normally Channel 4 operates in PWM mode (Initial value)
When bit PWM4 is set to 1 to select PWM mode, pin TIOCA4 becomes a PWM output pin. The output goes to 1 at compare match with GRA4, and to 0 at compare match with GRB4. If complementary PWM mode or reset-synchronized PWM mode is selected by bits CMD1 and CMD0 in TFCR, the CMD1 and CMD0 setting takes precedence and the PWM4 setting is ignored.
311
Bit 3--PWM Mode 3 (PWM3): Selects whether channel 3 operates normally or in PWM mode.
Bit 3 PWM3 0 1 Description Channel 3 operates normally Channel 3 operates in PWM mode (Initial value)
When bit PWM3 is set to 1 to select PWM mode, pin TIOCA3 becomes a PWM output pin. The output goes to 1 at compare match with GRA3, and to 0 at compare match with GRB3. If complementary PWM mode or reset-synchronized PWM mode is selected by bits CMD1 and CMD0 in TFCR, the CMD1 and CMD0 setting takes precedence and the PWM3 setting is ignored. Bit 2--PWM Mode 2 (PWM2): Selects whether channel 2 operates normally or in PWM mode.
Bit 2 PWM2 0 1 Description Channel 2 operates normally Channel 2 operates in PWM mode (Initial value)
When bit PWM2 is set to 1 to select PWM mode, pin TIOCA2 becomes a PWM output pin. The output goes to 1 at compare match with GRA2, and to 0 at compare match with GRB2. Bit 1--PWM Mode 1 (PWM1): Selects whether channel 1 operates normally or in PWM mode.
Bit 1 PWM1 0 1 Description Channel 1 operates normally Channel 1 operates in PWM mode (Initial value)
When bit PWM1 is set to 1 to select PWM mode, pin TIOCA1 becomes a PWM output pin. The output goes to 1 at compare match with GRA1, and to 0 at compare match with GRB1.
312
Bit 0--PWM Mode 0 (PWM0): Selects whether channel 0 operates normally or in PWM mode.
Bit 0 PWM0 0 1 Description Channel 0 operates normally Channel 0 operates in PWM mode (Initial value)
When bit PWM0 is set to 1 to select PWM mode, pin TIOCA0 becomes a PWM output pin. The output goes to 1 at compare match with GRA0, and to 0 at compare match with GRB0. 10.2.4 Timer Function Control Register (TFCR) TFCR is an 8-bit readable/writable register that selects complementary PWM mode, resetsynchronized PWM mode, and buffering for channels 3 and 4.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 CMD1 0 R/W 4 CMD0 0 R/W 3 BFB4 0 R/W 2 BFA4 0 R/W 1 BFB3 0 R/W 0 BFA3 0 R/W
Reserved bits Combination mode 1/0 These bits select complementary PWM mode or reset-synchronized PWM mode for channels 3 and 4 Buffer mode B4 and A4 These bits select buffering of general registers (GRB4 and GRA4) by buffer registers (BRB4 and BRA4) in channel 4 Buffer mode B3 and A3 These bits select buffering of general registers (GRB3 and GRA3) by buffer registers (BRB3 and BRA3) in channel 3
TFCR is initialized to H'C0 by a reset and in standby mode. Bits 7 and 6--Reserved: Read-only bits, always read as 1.
313
Bits 5 and 4--Combination Mode 1 and 0 (CMD1, CMD0): These bits select whether channels 3 and 4 operate in normal mode, complementary PWM mode, or reset-synchronized PWM mode.
Bit 5 CMD1 0 Bit 4 CMD0 0 1 1 0 1 Channels 3 and 4 operate together in complementary PWM mode Channels 3 and 4 operate together in reset-synchronized PWM mode Description Channels 3 and 4 operate normally (Initial value)
Before selecting reset-synchronized PWM mode or complementary PWM mode, halt the timer counter or counters that will be used in these modes. When these bits select complementary PWM mode or reset-synchronized PWM mode, they take precedence over the setting of the PWM mode bits (PWM4 and PWM3) in TMDR. Settings of timer sync bits SYNC4 and SYNC3 in TSNC are valid in complementary PWM mode and resetsynchronized PWM mode, however. When complementary PWM mode is selected, channels 3 and 4 must not be synchronized (do not set bits SYNC3 and SYNC4 both to 1 in TSNC). Bit 3--Buffer Mode B4 (BFB4): Selects whether GRB4 operates normally in channel 4, or whether GRB4 is buffered by BRB4.
Bit 3 BFB4 0 1 Description GRB4 operates normally GRB4 is buffered by BRB4 (Initial value)
Bit 2--Buffer Mode A4 (BFA4): Selects whether GRA4 operates normally in channel 4, or whether GRA4 is buffered by BRA4.
Bit 2 BFA4 0 1 Description GRA4 operates normally GRA4 is buffered by BRA4 (Initial value)
314
Bit 1--Buffer Mode B3 (BFB3): Selects whether GRB3 operates normally in channel 3, or whether GRB3 is buffered by BRB3.
Bit 1 BFB3 0 1 Description GRB3 operates normally GRB3 is buffered by BRB3 (Initial value)
Bit 0--Buffer Mode A3 (BFA3): Selects whether GRA3 operates normally in channel 3, or whether GRA3 is buffered by BRA3.
Bit 0 BFA3 0 1 Description GRA3 operates normally GRA3 is buffered by BRA3 (Initial value)
10.2.5 Timer Output Master Enable Register (TOER) TOER is an 8-bit readable/writable register that enables or disables output settings for channels 3 and 4.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 EXB4 1 R/W 4 EXA4 1 R/W 3 EB3 1 R/W 2 EB4 1 R/W 1 EA4 1 R/W 0 EA3 1 R/W
Reserved bits Master enable TOCXA4, TOCXB4 These bits enable or disable output settings for pins TOCXA4 and TOCXB4 Master enable TIOCA3, TIOCB3 , TIOCA4, TIOCB4 These bits enable or disable output settings for pins TIOCA3, TIOCB3 , TIOCA4, and TIOCB4
TOER is initialized to H'FF by a reset and in standby mode. Bits 7 and 6--Reserved: Read-only bits, always read as 1.
315
Bit 5--Master Enable TOCXB4 (EXB4): Enables or disables ITU output at pin TOCXB4.
Bit 5 EXB4 0 Description TOCXB4 output is disabled regardless of TFCR settings (TOCXB4 operates as a generic input/output pin). If XTGD = 0, EXB4 is cleared to 0 when input capture A occurs in channel 1. TOCXB4 is enabled for output according to TFCR settings (Initial value)
1
Bit 4--Master Enable TOCXA4 (EXA4): Enables or disables ITU output at pin TOCXA4.
Bit 4 EXA4 0 Description TOCXA4 output is disabled regardless of TFCR settings (TOCXA4 operates as a generic input/output pin). If XTGD = 0, EXA4 is cleared to 0 when input capture A occurs in channel 1. TOCXA4 is enabled for output according to TFCR settings (Initial value)
1
Bit 3--Master Enable TIOCB3 (EB3): Enables or disables ITU output at pin TIOCB3.
Bit 3 EB3 0 Description TIOCB3 output is disabled regardless of TIOR3 and TFCR settings (TIOCB3 operates as a generic input/output pin). If XTGD = 0, EB3 is cleared to 0 when input capture A occurs in channel 1. TIOCB3 is enabled for output according to TIOR3 and TFCR settings (Initial value)
1
316
Bit 2--Master Enable TIOCB4 (EB4): Enables or disables ITU output at pin TIOCB4.
Bit 2 EB4 0 Description TIOCB4 output is disabled regardless of TIOR4 and TFCR settings (TIOCB4 operates as a generic input/output pin). If XTGD = 0, EB4 is cleared to 0 when input capture A occurs in channel 1. TIOCB4 is enabled for output according to TIOR4 and TFCR settings (Initial value)
1
Bit 1--Master Enable TIOCA4 (EA4): Enables or disables ITU output at pin TIOCA4.
Bit 1 EA4 0 Description TIOCA4 output is disabled regardless of TIOR4, TMDR, and TFCR settings (TIOCA4 operates as a generic input/output pin). If XTGD = 0, EA4 is cleared to 0 when input capture A occurs in channel 1. TIOCA4 is enabled for output according to TIOR4, TMDR, and TFCR settings (Initial value)
1
Bit 0--Master Enable TIOCA3 (EA3): Enables or disables ITU output at pin TIOCA3.
Bit 0 EA3 0 Description TIOCA3 output is disabled regardless of TIOR3, TMDR, and TFCR settings (TIOCA3 operates as a generic input/output pin). If XTGD = 0, EA3 is cleared to 0 when input capture A occurs in channel 1. TIOCA3 is enabled for output according to TIOR3, TMDR, and TFCR settings (Initial value)
1
317
10.2.6 Timer Output Control Register (TOCR) TOCR is an 8-bit readable/writable register that selects externally triggered disabling of output in complementary PWM mode and reset-synchronized PWM mode, and inverts the output levels.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- Reserved bits 5 -- 1 -- 4 XTGD 1 R/W 3 -- 1 -- 2 -- 1 -- 1 OLS4 1 R/W 0 OLS3 1 R/W
Output level select 3, 4 These bits select output levels in complementary PWM mode and resetsynchronized PWM mode Reserved bits
External trigger disable Selects externally triggered disabling of output in complementary PWM mode and reset-synchronized PWM mode
The settings of the XTGD, OLS4, and OLS3 bits are valid only in complementary PWM mode and reset-synchronized PWM mode. These settings do not affect other modes. TOCR is initialized to H'FF by a reset and in standby mode. Bits 7 to 5--Reserved: Read-only bits, always read as 1. Bit 4--External Trigger Disable (XTGD): Selects externally triggered disabling of ITU output in complementary PWM mode and reset-synchronized PWM mode.
Bit 4 XTGD 0 Description Input capture A in channel 1 is used as an external trigger signal in complementary PWM mode and reset-synchronized PWM mode. When an external trigger occurs, bits 5 to 0 in TOER are cleared to 0, disabling ITU output. External triggering is disabled (Initial value)
1
318
Bits 3 and 2--Reserved: Read-only bits, always read as 1. Bit 1--Output Level Select 4 (OLS4): Selects output levels in complementary PWM mode and reset-synchronized PWM mode.
Bit 1 OLS4 0 1 Description TIOCA3, TIOCA4, and TIOCB4 outputs are inverted TIOCA3, TIOCA4, and TIOCB4 outputs are not inverted (Initial value)
Bit 0--Output Level Select 3 (OLS3): Selects output levels in complementary PWM mode and reset-synchronized PWM mode.
Bit 0 OLS3 0 1 Description TIOCB3, TOCXA4, and TOCXB4 outputs are inverted TIOCB3, TOCXA4, and TOCXB4 outputs are not inverted (Initial value)
10.2.7 Timer Counters (TCNT) TCNT is a 16-bit counter. The ITU has five TCNTs, one for each channel.
Channel 0 1 2 3 4 Abbreviation TCNT0 TCNT1 TCNT2 TCNT3 TCNT4 Phase counting mode: up/down-counter Other modes: up-counter Complementary PWM mode: up/down-counter Other modes: up-counter Function Up-counter
Bit Initial value Read/Write
15 0
14 0
13 0
12 0
11 0
10 0
9 0
8 0
7 0
6 0
5 0
4 0
3 0
2 0
1 0
0 0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Each TCNT is a 16-bit readable/writable register that counts pulse inputs from a clock source. The clock source is selected by bits TPSC2 to TPSC0 in TCR.
319
TCNT0 and TCNT1 are up-counters. TCNT2 is an up/down-counter in phase counting mode and an up-counter in other modes. TCNT3 and TCNT4 are up/down-counters in complementary PWM mode and up-counters in other modes. TCNT can be cleared to H'0000 by compare match with GRA or GRB or by input capture to GRA or GRB (counter clearing function) in the same channel. When TCNT overflows (changes from H'FFFF to H'0000), the OVF flag is set to 1 in TSR of the corresponding channel. When TCNT underflows (changes from H'0000 to H'FFFF), the OVF flag is set to 1 in TSR of the corresponding channel. The TCNTs are linked to the CPU by an internal 16-bit bus and can be written or read by either word access or byte access. Each TCNT is initialized to H'0000 by a reset and in standby mode. 10.2.8 General Registers (GRA, GRB) The general registers are 16-bit registers. The ITU has 10 general registers, two in each channel.
Channel 0 1 2 3 4 Abbreviation GRA0, GRB0 GRA1, GRB1 GRA2, GRB2 GRA3, GRB3 GRA4, GRB4 Output compare/input capture register; can be buffered by buffer registers BRA and BRB Function Output compare/input capture register
Bit Initial value Read/Write
15 1
14 1
13 1
12 1
11 1
10 1
9 1
8 1
7 1
6 1
5 1
4 1
3 1
2 1
1 1
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
A general register is a 16-bit readable/writable register that can function as either an output compare register or an input capture register. The function is selected by settings in TIOR. When a general register is used as an output compare register, its value is constantly compared with the TCNT value. When the two values match (compare match), the IMFA or IMFB flag is set to 1 in TSR. Compare match output can be selected in TIOR.
320
When a general register is used as an input capture register, rising edges, falling edges, or both edges of an external input capture signal are detected and the current TCNT value is stored in the general register. The corresponding IMFA or IMFB flag in TSR is set to 1 at the same time. The valid edge or edges of the input capture signal are selected in TIOR. TIOR settings are ignored in PWM mode, complementary PWM mode, and reset-synchronized PWM mode. General registers are linked to the CPU by an internal 16-bit bus and can be written or read by either word access or byte access. General registers are initialized to the output compare function (with no output signal) by a reset and in standby mode. The initial value is H'FFFF. 10.2.9 Buffer Registers (BRA, BRB) The buffer registers are 16-bit registers. The ITU has four buffer registers, two each in channels 3 and 4.
Channel 3 4 Abbreviation BRA3, BRB3 BRA4, BRB4 Function Used for buffering * When the corresponding GRA or GRB functions as an output compare register, BRA or BRB can function as an output compare buffer register: the BRA or BRB value is automatically transferred to GRA or GRB at compare match * When the corresponding GRA or GRB functions as an input capture register, BRA or BRB can function as an input capture buffer register: the GRA or GRB value is automatically transferred to BRA or BRB at input capture
Bit Initial value Read/Write
15 1
14 1
13 1
12 1
11 1
10 1
9 1
8 1
7 1
6 1
5 1
4 1
3 1
2 1
1 1
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
A buffer register is a 16-bit readable/writable register that is used when buffering is selected. Buffering can be selected independently by bits BFB4, BFA4, BFB3, and BFA3 in TFCR. The buffer register and general register operate as a pair. When the general register functions as an output compare register, the buffer register functions as an output compare buffer register. When the general register functions as an input capture register, the buffer register functions as an input capture buffer register.
321
The buffer registers are linked to the CPU by an internal 16-bit bus and can be written or read by either word or byte access. Buffer registers are initialized to H'FFFF by a reset and in standby mode. 10.2.10 Timer Control Registers (TCR) TCR is an 8-bit register. The ITU has five TCRs, one in each channel.
Channel 0 1 2 3 4 Abbreviation TCR0 TCR1 TCR2 TCR3 TCR4 Function TCR controls the timer counter. The TCRs in all channels are functionally identical. When phase counting mode is selected in channel 2, the settings of bits CKEG1 and CKEG0 and TPSC2 to TPSC0 in TCR2 are ignored.
Bit Initial value Read/Write
7 -- 1 --
6 CCLR1 0 R/W
5 CCLR0 0 R/W
4 0 R/W
3 0 R/W
2 TPSC2 0 R/W
1 TPSC1 0 R/W
0 TPSC0 0 R/W
CKEG1 CKEG0
Timer prescaler 2 to 0 These bits select the counter clock Clock edge 1/0 These bits select external clock edges Counter clear 1/0 These bits select the counter clear source Reserved bit
Each TCR is an 8-bit readable/writable register that selects the timer counter clock source, selects the edge or edges of external clock sources, and selects how the counter is cleared. TCR is initialized to H'80 by a reset and in standby mode. Bit 7--Reserved: Read-only bit, always read as 1.
322
Bits 6 and 5--Counter Clear 1/0 (CCLR1, CCLR0): These bits select how TCNT is cleared.
Bit 6 CCLR1 0 Bit 5 CCLR0 0 1 1 0 1 Description TCNT is not cleared TCNT is cleared by GRA compare match or input capture*1 TCNT is cleared by GRB compare match or input capture*1 Synchronous clear: TCNT is cleared in synchronization with other synchronized timers*2 (Initial value)
Notes: 1. TCNT is cleared by compare match when the general register functions as an output compare register, and by input capture when the general register functions as an input capture register. 2. Selected in TSNC.
Bits 4 and 3--Clock Edge 1/0 (CKEG1, CKEG0): These bits select external clock input edges when an external clock source is used.
Bit 4 Bit 3 CKEG1 CKEG0 Description 0 0 1 1 -- Count rising edges Count falling edges Count both edges (Initial value)
When channel 2 is set to phase counting mode, bits CKEG1 and CKEG0 in TCR2 are ignored. Phase counting takes precedence.
323
Bits 2 to 0--Timer Prescaler 2 to 0 (TPSC2 to TPSC0): These bits select the counter clock source.
Bit 2 TPSC2 0 Bit 1 TPSC1 0 Bit 0 TPSC0 0 1 1 0 1 1 0 0 1 1 0 1 Function Internal clock: o Internal clock: o/2 Internal clock: o/4 Internal clock: o/8 External clock A: TCLKA input External clock B: TCLKB input External clock C: TCLKC input External clock D: TCLKD input (Initial value)
When bit TPSC2 is cleared to 0 an internal clock source is selected, and the timer counts only falling edges. When bit TPSC2 is set to 1 an external clock source is selected, and the timer counts the edge or edges selected by bits CKEG1 and CKEG0. When channel 2 is set to phase counting mode (MDF = 1 in TMDR), the settings of bits TPSC2 to TPSC0 in TCR2 are ignored. Phase counting takes precedence. 10.2.11 Timer I/O Control Register (TIOR) TIOR is an 8-bit register. The ITU has five TIORs, one in each channel.
Channel 0 1 2 3 4 Abbreviation TIOR0 TIOR1 TIOR2 TIOR3 TIOR4 Function TIOR controls the general registers. Some functions differ in PWM mode. TIOR3 and TIOR4 settings are ignored when complementary PWM mode or reset-synchronized PWM mode is selected in channels 3 and 4.
324
Bit Initial value Read/Write
7 -- 1 --
6 IOB2 0 R/W
5 IOB1 0 R/W
4 IOB0 0 R/W
3 -- 1 --
2 IOA2 0 R/W
1 IOA1 0 R/W
0 IOA0 0 R/W
I/O control A2 to A0 These bits select GRA functions Reserved bit I/O control B2 to B0 These bits select GRB functions Reserved bit
Each TIOR is an 8-bit readable/writable register that selects the output compare or input capture function for GRA and GRB, and specifies the functions of the TIOCA and TIOCB pins. If the output compare function is selected, TIOR also selects the type of output. If input capture is selected, TIOR also selects the edge or edges of the input capture signal. TIOR is initialized to H'88 by a reset and in standby mode. Bit 7--Reserved: Read-only bit, always read as 1. Bits 6 to 4--I/O Control B2 to B0 (IOB2 to IOB0): These bits select the GRB function.
Bit 6 IOB2 0 Bit 5 IOB1 0 Bit 4 IOB0 0 1 1 0 1 1 0 0 1 1 0 1 Notes: 1. After a reset, the output is 0 until the first compare match. 2. Channel 2 output cannot be toggled by compare match. This setting selects 1 output instead. GRB is an input capture register Function GRB is an output compare register No output at compare match (Initial value)
0 output at GRB compare match*1 1 output at GRB compare match*1 Output toggles at GRB compare match (1 output in channel 2)*1, *2 GRB captures rising edge of input GRB captures falling edge of input GRB captures both edges of input
325
Bit 3--Reserved: Read-only bit, always read as 1. Bits 2 to 0--I/O Control A2 to A0 (IOA2 to IOA0): These bits select the GRA function.
Bit 2 IOA2 0 Bit 1 IOA1 0 Bit 0 IOA0 0 1 1 0 1 1 0 0 1 1 0 1 Notes: 1. After a reset, the output is 0 until the first compare match. 2. Channel 2 output cannot be toggled by compare match. This setting selects 1 output instead. GRA is an input capture register Function GRA is an output compare register No output at compare match (Initial value)
0 output at GRA compare match*1 1 output at GRA compare match*1 Output toggles at GRA compare match (1 output in channel 2)*1, *2 GRA captures rising edge of input GRA captures falling edge of input GRA captures both edges of input
10.2.12 Timer Status Register (TSR) TSR is an 8-bit register. The ITU has five TSRs, one in each channel.
Channel 0 1 2 3 4 Abbreviation TSR0 TSR1 TSR2 TSR3 TSR4 Function Indicates input capture, compare match, and overflow status
326
Bit Initial value Read/Write
7 -- 1 --
6 -- 1 --
5 -- 1 -- Reserved bits
4 -- 1 --
3 -- 1 --
2 OVF 0 R/(W)*
1 IMFB 0 R/(W)*
0 IMFA 0 R/(W)*
Overflow flag Status flag indicating overflow or underflow Input capture/compare match flag B Status flag indicating GRB compare match or input capture Input capture/compare match flag A Status flag indicating GRA compare match or input capture Note: * Only 0 can be written, to clear the flag.
Each TSR is an 8-bit readable/writable register containing flags that indicate TCNT overflow or underflow and GRA or GRB compare match or input capture. These flags are interrupt sources and generate CPU interrupts if enabled by corresponding bits in TIER. TSR is initialized to H'F8 by a reset and in standby mode. Bits 7 to 3--Reserved: Read-only bits, always read as 1. Bit 2--Overflow Flag (OVF): This status flag indicates TCNT overflow or underflow.
Bit 2 OVF 0 1 Description [Clearing condition] Read OVF when OVF = 1, then write 0 in OVF (Initial value)
[Setting condition] TCNT overflowed from H'FFFF to H'0000, or underflowed from H'0000 to H'FFFF*
Notes: * TCNT underflow occurs when TCNT operates as an up/down-counter. Underflow occurs only under the following conditions: 1. Channel 2 operates in phase counting mode (MDF = 1 in TMDR) 2. Channels 3 and 4 operate in complementary PWM mode (CMD1 = 1 and CMD0 = 0 in TFCR)
327
Bit 1--Input Capture/Compare Match Flag B (IMFB): This status flag indicates GRB compare match or input capture events.
Bit 1 IMFB 0 1 Description [Clearing condition] Read IMFB when IMFB = 1, then write 0 in IMFB (Initial value)
[Setting conditions] TCNT = GRB when GRB functions as an output compare register. TCNT value is transferred to GRB by an input capture signal, when GRB functions as an input capture register.
Bit 0--Input Capture/Compare Match Flag A (IMFA): This status flag indicates GRA compare match or input capture events.
Bit 0 IMFA 0 Description [Clearing condition] Read IMFA when IMFA = 1, then write 0 in IMFA. DMAC activated by IMIA interrupt (channels 0 to 3 only). (Initial value)
1
[Setting conditions] TCNT = GRA when GRA functions as an output compare register. TCNT value is transferred to GRA by an input capture signal, when GRA functions as an input capture register.
328
10.2.13 Timer Interrupt Enable Register (TIER) TIER is an 8-bit register. The ITU has five TIERs, one in each channel.
Channel 0 1 2 3 4 Abbreviation TIER0 TIER1 TIER2 TIER3 TIER4 Function Enables or disables interrupt requests.
Bit Initial value Read/Write
7 -- 1 --
6 -- 1 --
5 -- 1 -- Reserved bits
4 -- 1 --
3 -- 1 --
2 OVIE 0 R/W
1 IMIEB 0 R/W
0 IMIEA 0 R/W
Overflow interrupt enable Enables or disables OVF interrupts Input capture/compare match interrupt enable B Enables or disables IMFB interrupts Input capture/compare match interrupt enable A Enables or disables IMFA interrupts
Each TIER is an 8-bit readable/writable register that enables and disables overflow interrupt requests and general register compare match and input capture interrupt requests. TIER is initialized to H'F8 by a reset and in standby mode. Bits 7 to 3--Reserved: Read-only bits, always read as 1.
329
Bit 2--Overflow Interrupt Enable (OVIE): Enables or disables the interrupt requested by the OVF flag in TSR when OVF is set to 1.
Bit 2 OVIE 0 1 Description OVI interrupt requested by OVF is disabled OVI interrupt requested by OVF is enabled (Initial value)
Bit 1--Input Capture/Compare Match Interrupt Enable B (IMIEB): Enables or disables the interrupt requested by the IMFB flag in TSR when IMFB is set to 1.
Bit 1 IMIEB 0 1 Description IMIB interrupt requested by IMFB is disabled IMIB interrupt requested by IMFB is enabled (Initial value)
Bit 0--Input Capture/Compare Match Interrupt Enable A (IMIEA): Enables or disables the interrupt requested by the IMFA flag in TSR when IMFA is set to 1.
Bit 0 IMIEA 0 1 Description IMIA interrupt requested by IMFA is disabled IMIA interrupt requested by IMFA is enabled (Initial value)
330
10.3 CPU Interface
10.3.1 16-Bit Accessible Registers The timer counters (TCNTs), general registers A and B (GRAs and GRBs), and buffer registers A and B (BRAs and BRBs) are 16-bit registers, and are linked to the CPU by an internal 16-bit data bus. These registers can be written or read a word at a time, or a byte at a time. Figures 10-6 and 10-7 show examples of word access to a timer counter (TCNT). Figures 10-8, 10-9, 10-10, and 10-11 show examples of byte access to TCNTH and TCNTL.
On-chip data bus H CPU L Bus interface H L Module data bus
TCNTH
TCNTL
Figure 10-6 Access to Timer Counter (CPU Writes to TCNT, Word)
On-chip data bus H CPU L Bus interface H L Module data bus
TCNTH
TCNTL
Figure 10-7 Access to Timer Counter (CPU Reads TCNT, Word)
331
On-chip data bus H CPU L Bus interface H L Module data bus
TCNTH
TCNTL
Figure 10-8 Access to Timer Counter (CPU Writes to TCNT, Upper Byte)
On-chip data bus H CPU L Bus interface H L Module data bus
TCNTH
TCNTL
Figure 10-9 Access to Timer Counter (CPU Writes to TCNT, Lower Byte)
On-chip data bus H CPU L Bus interface H L Module data bus
TCNTH
TCNTL
Figure 10-10 Access to Timer Counter (CPU Reads TCNT, Upper Byte)
332
On-chip data bus H CPU L Bus interface H L Module data bus
TCNTH
TCNTL
Figure 10-11 Access to Timer Counter (CPU Reads TCNT, Lower Byte) 10.3.2 8-Bit Accessible Registers The registers other than the timer counters, general registers, and buffer registers are 8-bit registers. These registers are linked to the CPU by an internal 8-bit data bus. Figures 10-12 and 10-13 show examples of byte read and write access to a TCR. If a word-size data transfer instruction is executed, two byte transfers are performed.
On-chip data bus H CPU L Bus interface H L Module data bus
TCR
Figure 10-12 Access to Timer Counter (CPU Writes to TCR)
333
On-chip data bus H CPU L Bus interface H L Module data bus
TCR
Figure 10-13 Access to Timer Counter (CPU Reads TCR)
334
10.4 Operation
10.4.1 Overview A summary of operations in the various modes is given below. Normal Operation: Each channel has a timer counter and general registers. The timer counter counts up, and can operate as a free-running counter, periodic counter, or external event counter. General registers A and B can be used for input capture or output compare. Synchronous Operation: The timer counters in designated channels are preset synchronously. Data written to the timer counter in any one of these channels is simultaneously written to the timer counters in the other channels as well. The timer counters can also be cleared synchronously if so designated by the CCLR1 and CCLR0 bits in the TCRs. PWM Mode: A PWM waveform is output from the TIOCA pin. The output goes to 1 at compare match A and to 0 at compare match B. The duty cycle can be varied from 0% to 100% depending on the settings of GRA and GRB. When a channel is set to PWM mode, its GRA and GRB automatically become output compare registers. Reset-Synchronized PWM Mode: Channels 3 and 4 are paired for three-phase PWM output with complementary waveforms. (The three phases are related by having a common transition point.) When reset-synchronized PWM mode is selected GRA3, GRB3, GRA4, and GRB4 automatically function as output compare registers, TIOCA3, TIOCB3, TIOCA4, TOCXA4, TIOCB4, and TOCXB4 function as PWM output pins, and TCNT3 operates as an up-counter. TCNT4 operates independently, and is not compared with GRA4 or GRB4. Complementary PWM Mode: Channels 3 and 4 are paired for three-phase PWM output with non-overlapping complementary waveforms. When complementary PWM mode is selected GRA3, GRB3, GRA4, and GRB4 automatically function as output compare registers, and TIOCA3, TIOCB3, TIOCA4, TOCXA4, TIOCB4, and TOCXB4 function as PWM output pins. TCNT3 and TCNT4 operate as up/down-counters. Phase Counting Mode: The phase relationship between two clock signals input at TCLKA and TCLKB is detected and TCNT2 counts up or down accordingly. When phase counting mode is selected TCLKA and TCLKB become clock input pins and TCNT2 operates as an up/downcounter.
335
Buffering * If the general register is an output compare register When compare match occurs the buffer register value is transferred to the general register. * If the general register is an input capture register When input capture occurs the TCNT value is transferred to the general register, and the previous general register value is transferred to the buffer register. * Complementary PWM mode The buffer register value is transferred to the general register when TCNT3 and TCNT4 change counting direction. * Reset-synchronized PWM mode The buffer register value is transferred to the general register at GRA3 compare match. 10.4.2 Basic Functions Counter Operation: When one of bits STR0 to STR4 is set to 1 in the timer start register (TSTR), the timer counter (TCNT) in the corresponding channel starts counting. The counting can be free-running or periodic. * Sample setup procedure for counter Figure 10-14 shows a sample procedure for setting up a counter.
336
Counter setup
Select counter clock
1
Type of counting? Yes
No
Free-running counting Periodic counting
Select counter clear source
2
Select output compare register function
3
Set period
4
Start counter Periodic counter
5
Start counter Free-running counter
5
Figure 10-14 Counter Setup Procedure (Example) 1. Set bits TPSC2 to TPSC0 in TCR to select the counter clock source. If an external clock source is selected, set bits CKEG1 and CKEG0 in TCR to select the desired edge(s) of the external clock signal. For periodic counting, set CCLR1 and CCLR0 in TCR to have TCNT cleared at GRA compare match or GRB compare match. Set TIOR to select the output compare function of GRA or GRB, whichever was selected in step 2. Write the count period in GRA or GRB, whichever was selected in step 2. Set the STR bit to 1 in TSTR to start the timer counter.
2. 3. 4. 5.
337
*
Free-running and periodic counter operation A reset leaves the counters (TCNTs) in ITU channels 0 to 4 all set as free-running counters. A free-running counter starts counting up when the corresponding bit in TSTR is set to 1. When the count overflows from H'FFFF to H'0000, the OVF flag is set to 1 in TSR. If the corresponding OVIE bit is set to 1 in TIER, a CPU interrupt is requested. After the overflow, the counter continues counting up from H'0000. Figure 10-15 illustrates free-running counting.
TCNT value H'FFFF
H'0000 STR0 to STR4 bit OVF
Time
Figure 10-15 Free-Running Counter Operation When a channel is set to have its counter cleared by compare match, in that channel TCNT operates as a periodic counter. Select the output compare function of GRA or GRB, set bit CCLR1 or CCLR0 in TCR to have the counter cleared by compare match, and set the count period in GRA or GRB. After these settings, the counter starts counting up as a periodic counter when the corresponding bit is set to 1 in TSTR. When the count matches GRA or GRB, the IMFA or IMFB flag is set to 1 in TSR and the counter is cleared to H'0000. If the corresponding IMIEA or IMIEB bit is set to 1 in TIER, a CPU interrupt is requested at this time. After the compare match, TCNT continues counting up from H'0000. Figure 10-16 illustrates periodic counting.
338
TCNT value GR
Counter cleared by general register compare match
H'0000 STR bit IMF
Time
Figure 10-16 Periodic Counter Operation * TCNT count timing -- Internal clock source Bits TPSC2 to TPSC0 in TCR select the system clock (o) or one of three internal clock sources obtained by prescaling the system clock (o/2, o/4, o/8). Figure 10-17 shows the timing.
o Internal clock TCNT input TCNT N-1 N N+1
Figure 10-17 Count Timing for Internal Clock Sources
339
-- External clock source Bits TPSC2 to TPSC0 in TCR select an external clock input pin (TCLKA to TCLKD), and its valid edge or edges are selected by bits CKEG1 and CKEG0. The rising edge, falling edge, or both edges can be selected. The pulse width of the external clock signal must be at least 1.5 system clocks when a single edge is selected, and at least 2.5 system clocks when both edges are selected. Shorter pulses will not be counted correctly. Figure 10-18 shows the timing when both edges are detected.
o External clock input TCNT input TCNT N-1 N N+1
Figure 10-18 Count Timing for External Clock Sources (when Both Edges are Detected)
340
Waveform Output by Compare Match: In ITU channels 0, 1, 3, and 4, compare match A or B can cause the output at the TIOCA or TIOCB pin to go to 0, go to 1, or toggle. In channel 2 the output can only go to 0 or go to 1. * Sample setup procedure for waveform output by compare match Figure 10-19 shows a sample procedure for setting up waveform output by compare match.
Output setup
Select waveform output mode
1
1. Select the compare match output mode (0, 1, or toggle) in TIOR. When a waveform output mode is selected, the pin switches from its generic input/ output function to the output compare function (TIOCA or TIOCB). An output compare pin outputs 0 until the first compare match occurs.
Set output timing
2
2. Set a value in GRA or GRB to designate the compare match timing.
Start counter
3
3. Set the STR bit to 1 in TSTR to start the timer counter.
Waveform output
Figure 10-19 Setup Procedure for Waveform Output by Compare Match (Example) * Examples of waveform output Figure 10-20 shows examples of 0 and 1 output. TCNT operates as a free-running counter, 0 output is selected for compare match A, and 1 output is selected for compare match B. When the pin is already at the selected output level, the pin level does not change.
341
TCNT value H'FFFF GRB GRA H'0000 TIOCB Time No change No change 1 output
TIOCA
No change
No change
0 output
Figure 10-20 0 and 1 Output (Examples) Figure 10-21 shows examples of toggle output. TCNT operates as a periodic counter, cleared by compare match B. Toggle output is selected for both compare match A and B.
TCNT value GRB
Counter cleared by compare match with GRB
GRA
H'0000 TIOCB
Time Toggle output Toggle output
TIOCA
Figure 10-21 Toggle Output (Example)
342
*
Output compare timing The compare match signal is generated in the last state in which TCNT and the general register match (when TCNT changes from the matching value to the next value). When the compare match signal is generated, the output value selected in TIOR is output at the output compare pin (TIOCA or TIOCB). When TCNT matches a general register, the compare match signal is not generated until the next counter clock pulse. Figure 10-22 shows the output compare timing.
o TCNT input clock TCNT N N+1
GR Compare match signal TIOCA, TIOCB
N
Figure 10-22 Output Compare Timing Input Capture Function: The TCNT value can be captured into a general register when a transition occurs at an input capture/output compare pin (TIOCA or TIOCB). Capture can take place on the rising edge, falling edge, or both edges. The input capture function can be used to measure pulse width or period. * Sample setup procedure for input capture Figure 10-23 shows a sample procedure for setting up input capture.
343
Input selection
Select input-capture input
1
1. Set TIOR to select the input capture function of a general register and the rising edge, falling edge, or both edges of the input capture signal. Clear the port data direction bit to 0 before making these TIOR settings.
Start counter
2
2. Set the STR bit to 1 in TSTR to start the timer counter.
Input capture
Figure 10-23 Setup Procedure for Input Capture (Example) * Examples of input capture Figure 10-24 illustrates input capture when the falling edge of TIOCB and both edges of TIOCA are selected as capture edges. TCNT is cleared by input capture into GRB.
TCNT value H'0180 H'0160 H'0005 H'0000 TIOCB
Counter cleared by TIOCB input (falling edge)
Time
TIOCA
GRA
H'0005
H'0160
GRB
H'0180
Figure 10-24 Input Capture (Example)
344
*
Input capture signal timing Input capture on the rising edge, falling edge, or both edges can be selected by settings in TIOR. Figure 10-25 shows the timing when the rising edge is selected. The pulse width of the input capture signal must be at least 1.5 system clocks for single-edge capture, and 2.5 system clocks for capture of both edges.
o
Input-capture input
Internal input capture signal
TCNT
N
GRA, GRB
N
Figure 10-25 Input Capture Signal Timing
345
10.4.3 Synchronization The synchronization function enables two or more timer counters to be synchronized by writing the same data to them simultaneously (synchronous preset). With appropriate TCR settings, two or more timer counters can also be cleared simultaneously (synchronous clear). Synchronization enables additional general registers to be associated with a single time base. Synchronization can be selected for all channels (0 to 4). Sample Setup Procedure for Synchronization: Figure 10-26 shows a sample procedure for setting up synchronization.
Setup for synchronization Select synchronization 1
Synchronous preset
Synchronous clear
Write to TCNT
2
Clearing synchronized to this channel? Yes Select counter clear source
No
3
Select counter clear source
4
Start counter
5
Start counter
5
Synchronous preset
Counter clear
Synchronous clear
1. Set the SYNC bits to 1 in TSNC for the channels to be synchronized. 2. When a value is written in TCNT in one of the synchronized channels, the same value is simultaneously written in TCNT in the other channels (synchronized preset). 3. Set the CCLR1 or CCLR0 bit in TCR to have the counter cleared by compare match or input capture. 4. Set the CCLR1 and CCLR0 bits in TCR to have the counter cleared synchronously. 5. Set the STR bits in TSTR to 1 to start the synchronized counters.
Figure 10-26 Setup Procedure for Synchronization (Example)
346
Example of Synchronization: Figure 10-27 shows an example of synchronization. Channels 0, 1, and 2 are synchronized, and are set to operate in PWM mode. Channel 0 is set for counter clearing by compare match with GRB0. Channels 1 and 2 are set for synchronous counter clearing. The timer counters in channels 0, 1, and 2 are synchronously preset, and are synchronously cleared by compare match with GRB0. A three-phase PWM waveform is output from pins TIOCA0, TIOCA1, and TIOCA2. For further information on PWM mode, see section 10.4.4, PWM Mode.
Value of TCNT0 to TCNT2
Cleared by compare match with GRB0
GRB0 GRB1 GRA0 GRB2 GRA1 GRA2 H'0000 TIOCA0 Time
TIOCA1
Figure 10-27 Synchronization (Example)
347
10.4.4 PWM Mode In PWM mode GRA and GRB are paired and a PWM waveform is output from the TIOCA pin. GRA specifies the time at which the PWM output changes to 1. GRB specifies the time at which the PWM output changes to 0. If either GRA or GRB is selected as the counter clear source, a PWM waveform with a duty cycle from 0% to 100% is output at the TIOCA pin. PWM mode can be selected in all channels (0 to 4). Table 10-4 summarizes the PWM output pins and corresponding registers. If the same value is set in GRA and GRB, the output does not change when compare match occurs. Table 10-4 PWM Output Pins and Registers
Channel 0 1 2 3 4 Output Pin TIOCA0 TIOCA1 TIOCA2 TIOCA3 TIOCA4 1 Output GRA0 GRA1 GRA2 GRA3 GRA4 0 Output GRB0 GRB1 GRB2 GRB3 GRB4
348
Sample Setup Procedure for PWM Mode: Figure 10-28 shows a sample procedure for setting up PWM mode.
PWM mode
Select counter clock
1
Select counter clear source
2
Set GRA
3
Set GRB
4
Select PWM mode
5
Start counter
6
PWM mode
1. Set bits TPSC2 to TPSC0 in TCR to select the counter clock source. If an external clock source is selected, set bits CKEG1 and CKEG0 in TCR to select the desired edge(s) of the external clock signal. 2. Set bits CCLR1 and CCLR0 in TCR to select the counter clear source. 3. Set the time at which the PWM waveform should go to 1 in GRA. 4. Set the time at which the PWM waveform should go to 0 in GRB. 5. Set the PWM bit in TMDR to select PWM mode. When PWM mode is selected, regardless of the TIOR contents, GRA and GRB become output compare registers specifying the times at which the PWM output goes to 1 and 0. The TIOCA pin automatically becomes the PWM output pin. The TIOCB pin conforms to the settings of bits IOB1 and IOB0 in TIOR. If TIOCB output is not desired, clear both IOB1 and IOB0 to 0. 6. Set the STR bit to 1 in TSTR to start the timer counter.
Figure 10-28 Setup Procedure for PWM Mode (Example)
349
Examples of PWM Mode: Figure 10-29 shows examples of operation in PWM mode. In PWM mode TIOCA becomes an output pin. The output goes to 1 at compare match with GRA, and to 0 at compare match with GRB. In the examples shown, TCNT is cleared by compare match with GRA or GRB. Synchronized operation and free-running counting are also possible.
TCNT value Counter cleared by compare match with GRA GRA
GRB
H'0000
Time
TIOCA a. Counter cleared by GRA
TCNT value Counter cleared by compare match with GRB GRB
GRA
H'0000
Time
TIOCA b. Counter cleared by GRB
Figure 10-29 PWM Mode (Example 1)
350
Figure 10-30 shows examples of the output of PWM waveforms with duty cycles of 0% and 100%. If the counter is cleared by compare match with GRB, and GRA is set to a higher value than GRB, the duty cycle is 0%. If the counter is cleared by compare match with GRA, and GRB is set to a higher value than GRA, the duty cycle is 100%.
TCNT value GRB
Counter cleared by compare match with GRB
GRA
H'0000
Time
TIOCA
Write to GRA a. 0% duty cycle TCNT value GRA
Write to GRA
Counter cleared by compare match with GRA
GRB
H'0000
Time
TIOCA
Write to GRB
Write to GRB
b. 100% duty cycle
Figure 10-30 PWM Mode (Example 2)
351
10.4.5 Reset-Synchronized PWM Mode In reset-synchronized PWM mode channels 3 and 4 are combined to produce three pairs of complementary PWM waveforms, all having one waveform transition point in common. When reset-synchronized PWM mode is selected TIOCA3, TIOCB3, TIOCA4, TOCXA4, TIOCB4, and TOCXB4 automatically become PWM output pins, and TCNT3 functions as an upcounter. Table 10-5 lists the PWM output pins. Table 10-6 summarizes the register settings. Table 10-5 Output Pins in Reset-Synchronized PWM Mode
Channel 3 Output Pin TIOCA3 TIOCB3 4 TIOCA4 TOCXA4 TIOCB4 TOCXB4 Description PWM output 1 PWM output 1 (complementary waveform to PWM output 1) PWM output 2 PWM output 2 (complementary waveform to PWM output 2) PWM output 3 PWM output 3 (complementary waveform to PWM output 3)
Table 10-6 Register Settings in Reset-Synchronized PWM Mode
Register TCNT3 TCNT4 GRA3 GRB3 GRA4 GRB4 Setting Initially set to H'0000 Not used (operates independently) Specifies the count period of TCNT3 Specifies a transition point of PWM waveforms output from TIOCA3 and TIOCB3 Specifies a transition point of PWM waveforms output from TIOCA4 and TOCXA4 Specifies a transition point of PWM waveforms output from TIOCB4 and TOCXB4
352
Sample Setup Procedure for Reset-Synchronized PWM Mode: Figure 10-31 shows a sample procedure for setting up reset-synchronized PWM mode.
Reset-synchronized PWM mode
Stop counter
1
Select counter clock
2
Select counter clear source
3
Select reset-synchronized PWM mode
4
Set TCNT
5
Set general registers
6
Start counter
7
1. Clear the STR3 bit in TSTR to 0 to halt TCNT3. Reset-synchronized PWM mode must be set up while TCNT3 is halted. 2. Set bits TPSC2 to TPSC0 in TCR to select the counter clock source for channel 3. If an external clock source is selected, select the external clock edge(s) with bits CKEG1 and CKEG0 in TCR. 3. Set bits CCLR1 and CCLR0 in TCR3 to select GRA3 compare match as the counter clear source. 4. Set bits CMD1 and CMD0 in TFCR to select reset-synchronized PWM mode. TIOCA3, TIOCB3, TIOCA4, TIOCB4, TOCXA4, and TOCXB4 automatically become PWM output pins. 5. Preset TCNT3 to H'0000. TCNT4 need not be preset. 6. GRA3 is the waveform period register. Set the waveform period value in GRA3. Set transition times of the PWM output waveforms in GRB3, GRA4, and GRB4. Set times within the compare match range of TCNT3.
Figure 10-31 Setup Procedure for Reset-Synchronized PWM Mode (Example)
353
Example of Reset-Synchronized PWM Mode: Figure 10-32 shows an example of operation in reset-synchronized PWM mode. TCNT3 operates as an up-counter in this mode. TCNT4 operates independently, detached from GRA4 and GRB4. When TCNT3 matches GRA3, TCNT3 is cleared and resumes counting from H'0000. The PWM outputs toggle at compare match of TCNT3 with GRB3, GRA4, and GRB4 respectively, and all toggle when the counter is cleared.
TCNT3 value Counter cleared at compare match with GRA3 GRA3 GRB3 GRA4 GRB4 H'0000 Time
TIOCA3
TIOCB3
TIOCA4
TOCXA4
TIOCB4
TOCXB4
Figure 10-32 Operation in Reset-Synchronized PWM Mode (Example) (when OLS3 = OLS4 = 1) For the settings and operation when reset-synchronized PWM mode and buffer mode are both selected, see section 10.4.8, Buffering.
354
10.4.6 Complementary PWM Mode In complementary PWM mode channels 3 and 4 are combined to output three pairs of complementary, non-overlapping PWM waveforms. When complementary PWM mode is selected TIOCA3, TIOCB3, TIOCA4, TOCXA4, TIOCB4, and TOCXB4 automatically become PWM output pins, and TCNT3 and TCNT4 function as up/down-counters. Table 10-7 lists the PWM output pins. Table 10-8 summarizes the register settings. Table 10-7 Output Pins in Complementary PWM Mode
Channel 3 Output Pin TIOCA3 TIOCB3 4 TIOCA4 TOCXA4 TIOCB4 TOCXB4 Description PWM output 1 PWM output 1 (non-overlapping complementary waveform to PWM output 1) PWM output 2 PWM output 2 (non-overlapping complementary waveform to PWM output 2) PWM output 3 PWM output 3 (non-overlapping complementary waveform to PWM output 3)
Table 10-8 Register Settings in Complementary PWM Mode
Register TCNT3 TCNT4 GRA3 GRB3 GRA4 GRB4 Setting Initially specifies the non-overlap margin (difference to TCNT4) Initially set to H'0000 Specifies the upper limit value of TCNT3 minus 1 Specifies a transition point of PWM waveforms output from TIOCA3 and TIOCB3 Specifies a transition point of PWM waveforms output from TIOCA4 and TOCXA4 Specifies a transition point of PWM waveforms output from TIOCB4 and TOCXB4
355
Setup Procedure for Complementary PWM Mode: Figure 10-33 shows a sample procedure for setting up complementary PWM mode.
Complementary PWM mode
Stop counting
1
1. Clear bits STR3 and STR4 to 0 in TSTR to halt the timer counters. Complementary PWM mode must be set up while TCNT3 and TCNT4 are halted. 2. Set bits TPSC2 to TPSC0 in TCR to select the same counter clock source for channels 3 and 4. If an external clock source is selected, select the external clock edge(s) with bits CKEG1 and CKEG0 in TCR. Do not select any counter clear source with bits CCLR1 and CCLR0 in TCR. 3. Set bits CMD1 and CMD0 in TFCR to select complementary PWM mode. TIOCA3, TIOCB3, TIOCA4, TIOCB4, TOCXA4, and TOCXB4 automatically become PWM output pins. 4. Clear TCNT4 to H'0000. Set the non-overlap margin in TCNT3. Do not set TCNT3 and TCNT4 to the same value. 5. GRA3 is the waveform period register. Set the upper limit value of TCNT3 minus 1 in GRA3. Set transition times of the PWM output waveforms in GRB3, GRA4, and GRB4. Set times within the compare match range of TCNT3 and TCNT4. T X (X: initial setting of GRB3, GRA4, or GRB4. T: initial setting of TCNT3) 6. Set bits STR3 and STR4 in TSTR to 1 to start TCNT3 and TCNT4.
Select counter clock
2
Select complementary PWM mode
3
Set TCNTs
4
Set general registers
5
Start counters
6
Complementary PWM mode
Note: After exiting complementary PWM mode, to resume operating in complementary PWM mode, follow the entire setup procedure from step 1 again.
Figure 10-33 Setup Procedure for Complementary PWM Mode (Example)
356
Clearing Procedure for Complementary PWM Mode: Figure 10-34 shows the steps to clear complementary PWM mode.
Complementary PWM mode
1. Clear the CMD1 bit of TFCR to 0 to set channels 3 and 4 to normal operating mode. 1 2. After setting channels 3 and 4 to normal operating mode, wait at least one counter clock period, then clear bits STR3 and STR4 of TSTR to 0 to stop counter operation of TCNT3 and TCNT4.
Clear complementary PWM mode
Stop counter operation
2
Normal operating mode
Figure 10-34 Clearing Procedure for Complementary PWM Mode
357
Examples of Complementary PWM Mode: Figure 10-35 shows an example of operation in complementary PWM mode. TCNT3 and TCNT4 operate as up/down-counters, counting down from compare match between TCNT3 and GRA3 and counting up from the point at which TCNT4 underflows. During each up-and-down counting cycle, PWM waveforms are generated by compare match with general registers GRB3, GRA4, and GRB4. Since TCNT3 is initially set to a higher value than TCNT4, compare match events occur in the sequence TCNT3, TCNT4, TCNT4, TCNT3.
TCNT3 and TCNT4 values GRA3
Down-counting starts at compare match between TCNT3 and GRA3 TCNT3
GRB3 GRA4 GRB4 H'0000 Up-counting starts when TCNT4 underflows TCNT4
Time
TIOCA3
TIOCB3
TIOCA4
TOCXA4
TIOCB4
TOCXB4
Figure 10-35 Operation in Complementary PWM Mode (Example 1, OLS3 = OLS4 = 1)
358
Figure 10-36 shows examples of waveforms with 0% and 100% duty cycles (in one phase) in complementary PWM mode. In this example the outputs change at compare match with GRB3, so waveforms with duty cycles of 0% or 100% can be output by setting GRB3 to a value larger than GRA3. The duty cycle can be changed easily during operation by use of the buffer registers. For further information see section 10.4.8, Buffering.
TCNT3 and TCNT4 values GRA3
GRB3
H'0000 TIOCA3 TIOCB3 0% duty cycle a. 0% duty cycle TCNT3 and TCNT4 values GRA3
Time
GRB3
H'0000 TIOCA3 TIOCB3 100% duty cycle b. 100% duty cycle
Time
Figure 10-36 Operation in Complementary PWM Mode (Example 2, OLS3 = OLS4 = 1)
359
In complementary PWM mode, TCNT3 and TCNT4 overshoot and undershoot at the transitions between up-counting and down-counting. The setting conditions for the IMFA bit in channel 3 and the OVF bit in channel 4 differ from the usual conditions. In buffered operation the buffer transfer conditions also differ. Timing diagrams are shown in figures 10-37 and 10-38.
TCNT3
N-1
N
N+1
N
N-1
GRA3
N
IMFA Set to 1 Buffer transfer signal (BR to GR)
Flag not set
GR Buffer transfer No buffer transfer
Figure 10-37 Overshoot Timing
360
Underflow TCNT4 H'0001 H'0000 H'FFFF
Overflow H'0000
OVF Set to 1 Buffer transfer signal (BR to GR)
Flag not set
GR Buffer transfer No buffer transfer
Figure 10-38 Undershoot Timing In channel 3, IMFA is set to 1 only during up-counting. In channel 4, OVF is set to 1 only when an underflow occurs. When buffering is selected, buffer register contents are transferred to the general register at compare match A3 during up-counting, and when TCNT4 underflows. General Register Settings in Complementary PWM Mode: When setting up general registers for complementary PWM mode or changing their settings during operation, note the following points. * Initial settings Do not set values from H'0000 to T - 1 (where T is the initial value of TCNT3). After the counters start and the first compare match A3 event has occurred, however, settings in this range also become possible. * Changing settings Use the buffer registers. Correct waveform output may not be obtained if a general register is written to directly. * Cautions on changes of general register settings Figure 10-39 shows six correct examples and one incorrect example.
361
GRA3 GR
H'0000 BR
Not allowed
GR
Figure 10-39 Changing a General Register Setting by Buffer Transfer (Example 1) -- Buffer transfer at transition from up-counting to down-counting If the general register value is in the range from GRA3 - T + 1 to GRA3, do not transfer a buffer register value outside this range. Conversely, if the general register value is outside this range, do not transfer a value within this range. See figure 10-40.
GRA3 + 1 GRA3
Illegal changes
GRA3 - T + 1 GRA3 - T
TCNT3
TCNT4
Figure 10-40 Changing a General Register Setting by Buffer Transfer (Caution 1)
362
-- Buffer transfer at transition from down-counting to up-counting If the general register value is in the range from H'0000 to T - 1, do not transfer a buffer register value outside this range. Conversely, when a general register value is outside this range, do not transfer a value within this range. See figure 10-41.
TCNT3 TCNT4 T T-1 Illegal changes H'0000 H'FFFF
Figure 10-41 Changing a General Register Setting by Buffer Transfer (Caution 2)
363
-- General register settings outside the counting range (H'0000 to GRA3) Waveforms with a duty cycle of 0% or 100% can be output by setting a general register to a value outside the counting range. When a buffer register is set to a value outside the counting range, then later restored to a value within the counting range, the counting direction (up or down) must be the same both times. See figure 10-42.
GRA3 GR H'0000 0% duty cycle Output pin Output pin 100% duty cycle
BR
GR Write during down-counting Write during up-counting
Figure 10-42 Changing a General Register Setting by Buffer Transfer (Example 2) Settings can be made in this way by detecting GRA3 compare match or TCNT4 underflow before writing to the buffer register. They can also be made by using GRA3 compare match to activate the DMAC.
364
10.4.7 Phase Counting Mode In phase counting mode the phase difference between two external clock inputs (at the TCLKA and TCLKB pins) is detected, and TCNT2 counts up or down accordingly. In phase counting mode, the TCLKA and TCLKB pins automatically function as external clock input pins and TCNT2 becomes an up/down-counter, regardless of the settings of bits TPSC2 to TPSC0, CKEG1, and CKEG0 in TCR2. Settings of bits CCLR1, CCLR0 in TCR2, and settings in TIOR2, TIER2, TSR2, GRA2, and GRB2 are valid. The input capture and output compare functions can be used, and interrupts can be generated. Phase counting is available only in channel 2. Sample Setup Procedure for Phase Counting Mode: Figure 10-43 shows a sample procedure for setting up phase counting mode.
Phase counting mode
Select phase counting mode
1
Select flag setting condition
2
1. Set the MDF bit in TMDR to 1 to select phase counting mode. 2. Select the flag setting condition with the FDIR bit in TMDR. 3. Set the STR2 bit to 1 in TSTR to start the timer counter.
Start counter
3
Phase counting mode
Figure 10-43 Setup Procedure for Phase Counting Mode (Example)
365
Example of Phase Counting Mode: Figure 10-44 shows an example of operations in phase counting mode. Table 10-9 lists the up-counting and down-counting conditions for TCNT2. In phase counting mode both the rising and falling edges of TCLKA and TCLKB are counted. The phase difference between TCLKA and TCLKB must be at least 1.5 states, the phase overlap must also be at least 1.5 states, and the pulse width must be at least 2.5 states. See figure 10-45.
TCNT2 value Counting up Counting down
Time TCLKB TCLKA
Figure 10-44 Operation in Phase Counting Mode (Example) Table 10-9 Up/Down Counting Conditions
Counting Direction TCLKB TCLKA Low Up-Counting High High Low Down-Counting High Low Low High
Phase difference
Phase difference
Pulse width
Pulse width
TCLKA
TCLKB Phase difference and overlap: at least 1.5 states Pulse width: at least 2.5 states
Overlap
Overlap
Figure 10-45 Phase Difference, Overlap, and Pulse Width in Phase Counting Mode
366
10.4.8 Buffering Buffering operates differently depending on whether a general register is an output compare register or an input capture register, with further differences in reset-synchronized PWM mode and complementary PWM mode. Buffering is available only in channels 3 and 4. Buffering operations under the conditions mentioned above are described next. * General register used for output compare The buffer register value is transferred to the general register at compare match. See figure 10-46.
Compare match signal
BR
GR
Comparator
TCNT
Figure 10-46 Compare Match Buffering * General register used for input capture The TCNT value is transferred to the general register at input capture. The previous general register value is transferred to the buffer register. See figure 10-47.
Input capture signal
BR
GR
TCNT
Figure 10-47 Input Capture Buffering
367
*
Complementary PWM mode The buffer register value is transferred to the general register when TCNT3 and TCNT4 change counting direction. This occurs at the following two times: -- When TCNT3 compare matches GRA3 -- When TCNT4 underflows
*
Reset-synchronized PWM mode The buffer register value is transferred to the general register at compare match A3.
Sample Buffering Setup Procedure: Figure 10-48 shows a sample buffering setup procedure.
Buffering
Select general register functions
1
Set buffer bits
2
1. Set TIOR to select the output compare or input capture function of the general registers. 2. Set bits BFA3, BFA4, BFB3, and BFB4 in TFCR to select buffering of the required general registers. 3. Set the STR bits to 1 in TSTR to start the timer counters.
Start counters
3
Buffered operation
Figure 10-48 Buffering Setup Procedure (Example)
368
Examples of Buffering: Figure 10-49 shows an example in which GRA is set to function as an output compare register buffered by BRA, TCNT is set to operate as a periodic counter cleared by GRB compare match, and TIOCA and TIOCB are set to toggle at compare match A and B. Because of the buffer setting, when TIOCA toggles at compare match A, the BRA value is simultaneously transferred to GRA. This operation is repeated each time compare match A occurs. Figure 10-50 shows the transfer timing.
TCNT value GRB H'0250 H'0200 H'0100 H'0000 BRA GRA TIOCB TIOCA H'0200 H'0250
Counter cleared by compare match B
Time H'0100 H'0200 H'0100 H'0200 H'0200 Toggle output Toggle output
Compare match A
Figure 10-49 Register Buffering (Example 1: Buffering of Output Compare Register)
369
o TCNT Compare match signal Buffer transfer signal BR GR n N N n n+1
Figure 10-50 Compare Match and Buffer Transfer Timing (Example)
370
Figure 10-51 shows an example in which GRA is set to function as an input capture register buffered by BRA, and TCNT is cleared by input capture B. The falling edge is selected as the input capture edge at TIOCB. Both edges are selected as input capture edges at TIOCA. Because of the buffer setting, when the TCNT value is captured into GRA at input capture A, the previous GRA value is simultaneously transferred to BRA. Figure 10-52 shows the transfer timing.
TCNT value H'0180 H'0160
Counter cleared by input capture B
H'0005 H'0000 TIOCB
Time
TIOCA
GRA
H'0005
H'0160
BRA
H'0005
H'0160
GRB
H'0180
Input capture A
Figure 10-51 Register Buffering (Example 2: Buffering of Input Capture Register)
371
o TIOC pin Input capture signal TCNT GR BR M m n n M n+1 N n M N n N+1
Figure 10-52 Input Capture and Buffer Transfer Timing (Example)
372
Figure 10-53 shows an example in which GRB3 is buffered by BRB3 in complementary PWM mode. Buffering is used to set GRB3 to a higher value than GRA3, generating a PWM waveform with 0% duty cycle. The BRB3 value is transferred to GRB3 when TCNT3 matches GRA3, and when TCNT4 underflows.
TCNT3 and TCNT4 values H'1FFF GRA3 TCNT3 TCNT4 GRB3
H'0999
H'0000
Time
BRB3 GRB3
H'0999 H'0999 H'0999
H'1FFF H'1FFF H'1FFF
H'0999 H'0999
TIOCA3
TIOCB3
Figure 10-53 Register Buffering (Example 3: Buffering in Complementary PWM Mode)
373
10.4.9 ITU Output Timing The ITU outputs from channels 3 and 4 can be disabled by bit settings in TOER or by an external trigger, or inverted by bit settings in TOCR. Timing of Enabling and Disabling of ITU Output by TOER: In this example an ITU output is disabled by clearing a master enable bit to 0 in TOER. An arbitrary value can be output by appropriate settings of the data register (DR) and data direction register (DDR) of the corresponding input/output port. Figure 10-54 illustrates the timing of the enabling and disabling of ITU output by TOER.
T1 o T2 T3
Address bus
TOER address
TOER
ITU output pin
Timer output
I/O port
ITU output
Generic input/output
Figure 10-54 Timing of Disabling of ITU Output by Writing to TOER (Example)
374
Timing of Disabling of ITU Output by External Trigger: If the XTGD bit is cleared to 0 in TOCR in reset-synchronized PWM mode or complementary PWM mode, when an input capture A signal occurs in channel 1, the master enable bits are cleared to 0 in TOER, disabling ITU output. Figure 10-55 shows the timing.
o TIOCA1 pin Input capture signal TOER ITU output pins N ITU output ITU output N: Arbitrary setting (H'C1 to H'FF) H'C0 I/O port Generic input/output N ITU output ITU output H'C0 I/O port Generic input/output
Figure 10-55 Timing of Disabling of ITU Output by External Trigger (Example) Timing of Output Inversion by TOCR: The output levels in reset-synchronized PWM mode and complementary PWM mode can be inverted by inverting the output level select bits (OLS4 and OLS3) in TOCR. Figure 10-56 shows the timing.
T1 o T2 T3
Address bus
TOCR address
TOCR
ITU output pin Inverted
Figure 10-56 Timing of Inverting of ITU Output Level by Writing to TOCR (Example)
375
10.5 Interrupts
The ITU has two types of interrupts: input capture/compare match interrupts, and overflow interrupts. 10.5.1 Setting of Status Flags Timing of Setting of IMFA and IMFB at Compare Match: IMFA and IMFB are set to 1 by a compare match signal generated when TCNT matches a general register (GR). The compare match signal is generated in the last state in which the values match (when TCNT is updated from the matching count to the next count). Therefore, when TCNT matches a general register, the compare match signal is not generated until the next timer clock input. Figure 10-57 shows the timing of the setting of IMFA and IMFB.
o
TCNT input clock
TCNT
N
N+1
GR
N
Compare match signal
IMF
IMI
Figure 10-57 Timing of Setting of IMFA and IMFB by Compare Match
376
Timing of Setting of IMFA and IMFB by Input Capture: IMFA and IMFB are set to 1 by an input capture signal. The TCNT contents are simultaneously transferred to the corresponding general register. Figure 10-58 shows the timing.
o
Input capture signal
IMF
TCNT
N
GR
N
IMI
Figure 10-58 Timing of Setting of IMFA and IMFB by Input Capture Timing of Setting of Overflow Flag (OVF): OVF is set to 1 when TCNT overflows from H'FFFF to H'0000 or underflows from H'0000 to H'FFFF. Figure 10-59 shows the timing.
377
o
TCNT
H'FFFF
H'0000
Overflow signal
OVF
OVI
Figure 10-59 Timing of Setting of OVF 10.5.2 Clearing of Status Flags If the CPU reads a status flag while it is set to 1, then writes 0 in the status flag, the status flag is cleared. Figure 10-60 shows the timing.
TSR write cycle T1 T2 T3
o
Address
TSR address
IMF, OVF
Figure 10-60 Timing of Clearing of Status Flags
378
10.5.3 Interrupt Sources and DMA Controller Activation Each ITU channel can generate a compare match/input capture A interrupt, a compare match/input capture B interrupt, and an overflow interrupt. In total there are 15 interrupt sources, all independently vectored. An interrupt is requested when the interrupt request flag and interrupt enable bit are both set to 1. The priority order of the channels can be modified in interrupt priority registers A and B (IPRA and IPRB). For details see section 5, Interrupt Controller. Compare match/input capture A interrupts in channels 0 to 3 can activate the DMA controller (DMAC). When the DMAC is activated a CPU interrupt is not requested. Table 10-10 lists the interrupt sources. Table 10-10 ITU Interrupt Sources
Channel 0 Interrupt Source IMIA0 IMIB0 OVI0 1 IMIA1 IMIB1 OVI1 2 IMIA2 IMIB2 OVI2 3 IMIA3 IMIB3 OVI3 4 IMIA4 IMIB4 OVI4 Description Compare match/input capture A0 Compare match/input capture B0 Overflow 0 Compare match/input capture A1 Compare match/input capture B1 Overflow 1 Compare match/input capture A2 Compare match/input capture B2 Overflow 2 Compare match/input capture A3 Compare match/input capture B3 Overflow 3 Compare match/input capture A4 Compare match/input capture B4 Overflow 4 DMAC Activatable Yes No No Yes No No Yes No No Yes No No No No No Low Priority* High
Note: * The priority immediately after a reset is indicated. Inter-channel priorities can be changed by settings in IPRA and IPRB.
379
10.6 Usage Notes
This section describes contention and other matters requiring special attention during ITU operations. Contention between TCNT Write and Clear: If a counter clear signal occurs in the T3 state of a TCNT write cycle, clearing of the counter takes priority and the write is not performed. See figure 10-61.
TCNT write cycle T1 T2 T3
o
Address bus
TCNT address
Internal write signal
Figure 10-61 Contention between TCNT Write and Clear
380
Contention between TCNT Word Write and Increment: If an increment pulse occurs in the T3 state of a TCNT word write cycle, writing takes priority and TCNT is not incremented. See figure 10-62.
TCNT word write cycle T1 T2 T3
o
Address bus
TCNT address
Internal write signal
TCNT input clock
TCNT
N
M TCNT write data
Figure 10-62 Contention between TCNT Word Write and Increment
381
Contention between TCNT Byte Write and Increment: If an increment pulse occurs in the T2 or T3 state of a TCNT byte write cycle, writing takes priority and TCNT is not incremented. The TCNT byte that was not written retains its previous value. See figure 10-63, which shows an increment pulse occurring in the T2 state of a byte write to TCNTH.
TCNTH byte write cycle T1 T2 T3
o
Address bus
TCNTH address
Internal write signal
TCNT input clock
TCNTH
N TCNT write data
M
TCNTL
X
X+1
X
Figure 10-63 Contention between TCNT Byte Write and Increment
382
Contention between General Register Write and Compare Match: If a compare match occurs in the T3 state of a general register write cycle, writing takes priority and the compare match signal is inhibited. See figure 10-64.
General register write cycle T1 T2 T3
o
Address bus
GR address
Internal write signal
TCNT
N
N+1
GR
N
M General register write data
Compare match signal
Inhibited
Figure 10-64 Contention between General Register Write and Compare Match
383
Contention between TCNT Write and Overflow or Underflow: If an overflow occurs in the T3 state of a TCNT write cycle, writing takes priority and the counter is not incremented. OVF is set to 1.The same holds for underflow. See figure 10-65.
TCNT write cycle T1 T2 T3
o
Address bus
TCNT address
Internal write signal
TCNT input clock
Overflow signal
TCNT
H'FFFF TCNT write data
M
OVF
Figure 10-65 Contention between TCNT Write and Overflow
384
Contention between General Register Read and Input Capture: If an input capture signal occurs during the T3 state of a general register read cycle, the value before input capture is read. See figure 10-66.
General register read cycle T1 T2 T3
o
Address bus
GR address
Internal read signal
Input capture signal
GR
X
M
Internal data bus
X
Figure 10-66 Contention between General Register Read and Input Capture
385
Contention between Counter Clearing by Input Capture and Counter Increment: If an input capture signal and counter increment signal occur simultaneously, the counter is cleared according to the input capture signal. The counter is not incremented by the increment signal. The value before the counter is cleared is transferred to the general register. See figure 10-67.
o
Input capture signal
Counter clear signal
TCNT input clock
TCNT
N
H'0000
GR
N
Figure 10-67 Contention between Counter Clearing by Input Capture and Counter Increment
386
Contention between General Register Write and Input Capture: If an input capture signal occurs in the T3 state of a general register write cycle, input capture takes priority and the write to the general register is not performed. See figure 10-68.
General register write cycle T1 T2 T3
o
Address bus
GR address
Internal write signal
Input capture signal
TCNT
M
GR
M
Figure 10-68 Contention between General Register Write and Input Capture Note on Waveform Period Setting: When a counter is cleared by compare match, the counter is cleared in the last state at which the TCNT value matches the general register value, at the time when this value would normally be updated to the next count. The actual counter frequency is therefore given by the following formula: f= o (N + 1)
(f: counter frequency. o: system clock frequency. N: value set in general register.)
387
Contention between Buffer Register Write and Input Capture: If a buffer register is used for input capture buffering and an input capture signal occurs in the T3 state of a write cycle, input capture takes priority and the write to the buffer register is not performed. See figure 10-69.
Buffer register write cycle T1 T2 T3
o
Address bus
BR address
Internal write signal
Input capture signal
GR
N
X TCNT value
BR
M
N
Figure 10-69 Contention between Buffer Register Write and Input Capture
388
Note on Synchronous Preset: When channels are synchronized, if a TCNT value is modified by byte write access, all 16 bits of all synchronized counters assume the same value as the counter that was addressed. (Example) When channels 2 and 3 are synchronized
* Byte write to channel 2 or byte write to channel 3 Write A to upper byte of channel 2
TCNT2 TCNT3
W Y
X Z
TCNT2 TCNT3
A A
X X
Upper byte Lower byte
Write A to lower byte of channel 3 TCNT2 TCNT3
Upper byte Lower byte Y Y A A
Upper byte Lower byte l2 d th l and Complementary PWM Mode: When NoteW d it of Reset-SynchronizeditPWM Mode3 on Setup t h setting bits CMD1 and CMD0 in TFCR, take the following precautions:
* *
Write to bits CMD1 and CMD0 only when TCNT3 and TCNT4 are stopped. Do not switch directly between reset-synchronized PWM mode and complementary PWM mode. First switch to normal mode (by clearing bit CMD1 to 0), then select resetsynchronized PWM mode or complementary PWM mode.
389
ITU Operating Modes Table 10-11 (a) ITU Operating Modes (Channel 0)
Register Settings TSNC TMDR TFCR TOCR TOER TIOR0 TCR0
Operating Mode Synchronous preset PWM mode Output compare A
Synchronization
MDF
FDIR PWM -- -- --
ResetComple- SynchroOutput mentary nized BufferLevel PWM PWM ing XTGD Select -- -- -- -- -- -- -- -- -- -- -- -- --
Master Enable -- -- --
IOA
IOB
Clear Select
Clock Select
SYNC0 = 1 -- -- --
PWM0 = 1 -- PWM0 = 0 --
-- IOA2 = 0 Other bits unrestricted
*
Output compare B
--
--
--
--
--
--
--
--
IOB2 = 0 Other bits unrestricted IOA2 = 1 Other bits unrestricted IOB2 = 1 Other bits unrestricted CCLR1 = 0 CCLR0 = 1 CCLR1 = 1 CCLR0 = 0 CCLR1 = 1 CCLR0 = 1
390
Input capture A -- -- PWM0 = 0 -- -- -- -- -- -- Input capture B -- -- PWM0 = 0 -- -- -- -- -- -- Counter By compare clearing match/input capture A By compare match/input capture B Synchronous clear Legend: -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- SYNC0 = 1 -- -- -- -- -- -- -- -- Setting available (valid). -- Setting does not affect this mode.
Note: * The input capture function cannot be used in PWM mode. If compare match A and compare match B occur simultaneously, the compare match signal is inhibited.
Table 10-11 (b) ITU Operating Modes (Channel 1)
Register Settings TSNC TMDR TFCR TOCR TOER TIOR1 TCR1
Operating Mode Synchronous preset PWM mode Output compare A
Synchronization
MDF
FDIR PWM -- -- --
ResetComple- SynchroOutput mentary nized BufferLevel PWM PWM ing XTGD Select -- -- -- -- -- -- -- -- -- -- -- -- --
Master Enable -- -- --
IOA
IOB
Clear Select
Clock Select
SYNC1 = 1 -- -- --
PWM1 = 1 -- PWM1 = 0 --
-- IOA2 = 0 Other bits unrestricted
*1
Output compare B
--
--
--
--
--
--
--
--
IOB2 = 0 Other bits unrestricted IOA2 = 1 Other bits unrestricted IOB2 = 1 Other bits unrestricted CCLR1 = 0 CCLR0 = 1 CCLR1 = 1 CCLR0 = 0 CCLR1 = 1 CCLR0 = 1
Input capture A
--
--
PWM1 = 0 --
--
--
*2
--
--
391
Input capture B
--
--
PWM1 = 0 --
--
--
--
--
--
Counter By compare clearing match/input capture A By compare match/input capture B Synchronous clear
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
SYNC1 = 1 --
--
--
--
--
--
--
--
Legend: Setting available (valid). -- Setting does not affect this mode. Notes: 1. The input capture function cannot be used in PWM mode. If compare match A and compare match B occur simultaneously, the compare match signal is inhibited. 2. Valid only when channels 3 and 4 are operating in complementary PWM mode or reset-synchronized PWM mode.
Table 10-11 (c) ITU Operating Modes (Channel 2)
Register Settings TSNC TMDR TFCR TOCR TOER TIOR2 TCR2
Operating Mode Synchronous preset PWM mode Output compare A
Synchronization SYNC2 = 1
MDF
FDIR PWM -- -- --
ResetComple- SynchroOutput mentary nized BufferLevel PWM PWM ing XTGD Select -- -- -- -- -- -- -- -- -- -- -- -- --
Master Enable -- -- --
IOA
IOB
Clear Select
Clock Select
PWM2 = 1 -- PWM2 = 0 --
-- IOA2 = 0 Other bits unrestricted
*
Output compare B
--
--
--
--
--
--
--
IOB2 = 0 Other bits unrestricted IOA2 = 1 Other bits unrestricted IOB2 = 1 Other bits unrestricted CCLR1 = 0 CCLR0 = 1 CCLR1 = 1 CCLR0 = 0 CCLR1 = 1 CCLR0 = 1 --
Input capture A
--
PWM2 = 0 --
--
--
--
--
--
392
Input capture B
--
PWM2 = 0 --
--
--
--
--
--
Counter By compare clearing match/input capture A By compare match/input capture B Synchronous clear Phase counting mode SYNC2 = 1
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
--
MDF = 1
--
--
--
--
--
--
Legend: Setting available (valid). -- Setting does not affect this mode. Note: * The input capture function cannot be used in PWM mode. If compare match A and compare match B occur simultaneously, the compare match signal is inhibited.
Table 10-11 (d) ITU Operating Modes (Channel 3)
TSNC Synchronization MDF SYNC3 = 1 -- -- -- TMDR Complementary PWM Register Settings TFCR TOCR TOER ResetOutput SynchroLevel Master nized PWM Buffering XTGD Select Enable *1 -- -- CMD1 = 0 -- -- CMD1 = 0 -- -- TIOR3 Clear Select TCR3 Clock Select
Operating Mode Synchronous preset PWM mode Output compare A
FDIR PWM *3 -- -- PWM3 = 1 CMD1 = 0 -- PWM3 = 0 CMD1 = 0
IOA -- IOA2 = 0 Other bits unrestricted
IOB
*2
Output compare B
--
--
CMD1 = 0
CMD1 = 0
--
--
IOB2 = 0 Other bits unrestricted EA3 ignored IOA2 = 1 Other bits Other bits unrestricted unrestricted EB3 ignored IOA2 = 1 Other bits Other bits unrestricted unrestricted
*1
Input capture A
--
--
PWM3 = 0 CMD1 = 0
CMD1 = 0
--
--
Input capture B
--
--
PWM3 = 0 CMD1 = 0
CMD1 = 0
--
--
Counter clearing
By compare match/input capture A By compare match/input capture B Synchronous clear Complementary PWM mode Reset-synchronized PWM mode Buffering (BRA) Buffering (BRB)
--
--
--
--
Illegal setting: *4 CMD1 = 1 CMD0 = 0 CMD1 = 0 CMD1 = 0
--
--
CCLR1 = 0 CCLR0 = 1 CCLR1 = 1 CCLR0 = 0 CCLR1 = 1 CCLR0 = 1 -- -- -- -- CCLR1 = 0 CCLR0 = 0 CCLR1 = 0 CCLR0 = 1
*5
393
--
--
*1
SYNC3 = 1 --
--
*3
-- -- --
-- -- --
-- --
Illegal setting: CMD1 = 1 CMD0 = 0 CMD1 = 1 CMD0 = 0 CMD1 = 1 CMD0 = 1
--
--
*1
CMD1 = 1 CMD0 = 0 CMD1 = 1 CMD0 = 1
*6
*6
--
--
BFA3 = 1 -- Other bits unrestricted BFB3 = 1 -- Other bits unrestricted
--
*1
--
*1
Legend: Notes: 1. 2. 3. 4. 5. 6.
Setting available (valid). -- Setting does not affect this mode. Master enable bit settings are valid only during waveform output. The input capture function cannot be used in PWM mode. If compare match A and compare match B occur simultaneously, the compare match signal is inhibited. Do not set both channels 3 and 4 for synchronous operation when complementary PWM mode is selected. The counter cannot be cleared by input capture A when reset-synchronized PWM mode is selected. In complementary PWM mode, select the same clock source for channels 3 and 4. Use the input capture A function in channel 1.
Table 10-11 (e) ITU Operating Modes (Channel 4)
TSNC Synchronization MDF SYNC4 = 1 -- -- -- TMDR Complementary PWM Register Settings TFCR TOCR TOER ResetOutput SynchroLevel Master nized PWM Buffering XTGD Select Enable *1 -- -- CMD1 = 0 -- -- CMD1 = 0 -- -- TIOR4 Clear Select TCR4 Clock Select
Operating Mode Synchronous preset PWM mode Output compare A
FDIR PWM *3 -- -- PWM4 = 1 CMD1 = 0 -- PWM4 = 0 CMD1 = 0
IOA -- IOA2 = 0 Other bits unrestricted
IOB
*2
Output compare B
--
--
CMD1 = 0
CMD1 = 0
--
--
IOB2 = 0 Other bits unrestricted EA4 ignored IOA2 = 1 Other bits Other bits unrestricted unrestricted EB4 ignored IOB2 = 1 Other bits Other bits unrestricted unrestricted
*1
Input capture A
--
--
PWM4 = 0 CMD1 = 0
CMD1 = 0
--
--
Input capture B
--
--
PWM4 = 0 CMD1 = 0
CMD1 = 0
--
--
394
Counter clearing
By compare match/input capture A By compare match/input capture B Synchronous clear Complementary PWM mode Reset-synchronized PWM mode Buffering (BRA) Buffering (BRB)
--
--
--
--
SYNC4 = 1 --
--
*3
-- -- --
-- -- --
-- --
Illegal setting: CMD1 = 1 CMD0 = 0 Illegal setting: CMD1 = 1 CMD0 = 0 Illegal setting: CMD1 = 1 CMD0 = 0 CMD1 = 1 CMD0 = 0 CMD1 = 1 CMD0 = 1
*4
--
--
CCLR1 = 0 CCLR0 = 1 CCLR1 = 1 CCLR0 = 0 CCLR1 = 1 CCLR0 = 1 -- -- -- -- CCLR1 = 0 CCLR0 = 0
*6 *5
*4
--
--
*1
*4
--
--
*1
CMD1 = 1 CMD0 = 0 CMD1 = 1 CMD0 = 1 BFA4 = 1 -- Other bits unrestricted BFB4 = 1 -- Other bits unrestricted --
*1
*6
--
--
--
*1
Legend: Notes: 1. 2. 3. 4. 5. 6.
Setting available (valid). -- Setting does not affect this mode. Master enable bit settings are valid only during waveform output. The input capture function cannot be used in PWM mode. If compare match A and compare match B occur simultaneously, the compare match signal is inhibited. Do not set both channels 3 and 4 for synchronous operation when complementary PWM mode is selected. When reset-synchronized PWM mode is selected, TCNT4 operates independently and the counter clearing function is available. Waveform output is not affected. In complementary PWM mode, select the same clock source for channels 3 and 4. TCR4 settings are valid in reset-synchronized PWM mode, but TCNT4 operates independently, without affecting waveform output.
Section 11 Programmable Timing Pattern Controller
11.1 Overview
The H8/3048 Series has a built-in programmable timing pattern controller (TPC) that provides pulse outputs by using the 16-bit integrated timer unit (ITU) as a time base. The TPC pulse outputs are divided into 4-bit groups (group 3 to group 0) that can operate simultaneously and independently. 11.1.1 Features TPC features are listed below. * 16-bit output data Maximum 16-bit data can be output. TPC output can be enabled on a bit-by-bit basis. * Four output groups Output trigger signals can be selected in 4-bit groups to provide up to four different 4-bit outputs. * Selectable output trigger signals Output trigger signals can be selected for each group from the compare-match signals of four ITU channels. * Non-overlap mode A non-overlap margin can be provided between pulse outputs. * Can operate together with the DMA controller (DMAC) The compare-match signals selected as trigger signals can activate the DMAC for sequential output of data without CPU intervention.
395
11.1.2 Block Diagram Figure 11-1 shows a block diagram of the TPC.
ITU compare match signals
PADDR Control logic NDERA TPMR
PBDDR NDERB TPCR
TP15 TP14 TP13 TP12 TP11 TP10 TP 9 TP 8 TP 7 TP 6 TP 5 TP 4 TP 3 TP 2 TP 1 TP 0 Legend TPMR: TPCR: NDERB: NDERA: PBDDR: PADDR: NDRB: NDRA: PBDR: PADR:
Pulse output pins, group 3 PBDR Pulse output pins, group 2 NDRB
Internal data bus
Pulse output pins, group 1 PADR Pulse output pins, group 0 NDRA
TPC output mode register TPC output control register Next data enable register B Next data enable register A Port B data direction register Port A data direction register Next data register B Next data register A Port B data register Port A data register
Figure 11-1 TPC Block Diagram
396
11.1.3 TPC Pins Table 11-1 summarizes the TPC output pins. Table 11-1 TPC Pins
Name TPC output 0 TPC output 1 TPC output 2 TPC output 3 TPC output 4 TPC output 5 TPC output 6 TPC output 7 TPC output 8 TPC output 9 TPC output 10 TPC output 11 TPC output 12 TPC output 13 TPC output 14 TPC output 15 Symbol TP0 TP1 TP2 TP3 TP4 TP5 TP6 TP7 TP8 TP9 TP10 TP11 TP12 TP13 TP14 TP15 I/O Output Output Output Output Output Output Output Output Output Output Output Output Output Output Output Output Group 3 pulse output Group 2 pulse output Group 1 pulse output Function Group 0 pulse output
397
11.1.4 Registers Table 11-2 summarizes the TPC registers. Table 11-2 TPC Registers
Address*1 H'FFD1 H'FFD3 H'FFD4 H'FFD6 H'FFA0 H'FFA1 H'FFA2 H'FFA3 H'FFA5/ H'FFA7*3 H'FFA4 H'FFA6*3 Name Port A data direction register Port A data register Port B data direction register Port B data register TPC output mode register TPC output control register Next data enable register B Next data enable register A Next data register A Next data register B Abbreviation PADDR PADR PBDDR PBDR TPMR TPCR NDERB NDERA NDRA NDRB R/W W R/(W)*2 W R/(W)*2 R/W R/W R/W R/W R/W R/W Initial Value H'00 H'00 H'00 H'00 H'F0 H'FF H'00 H'00 H'00 H'00
Notes: 1. Lower 16 bits of the address. 2. Bits used for TPC output cannot be written. 3. The NDRA address is H'FFA5 when the same output trigger is selected for TPC output groups 0 and 1 by settings in TPCR. When the output triggers are different, the NDRA address is H'FFA7 for group 0 and H'FFA5 for group 1. Similarly, the address of NDRB is H'FFA4 when the same output trigger is selected for TPC output groups 2 and 3 by settings in TPCR. When the output triggers are different, the NDRB address is H'FFA6 for group 2 and H'FFA4 for group 3.
398
11.2 Register Descriptions
11.2.1 Port A Data Direction Register (PADDR) PADDR is an 8-bit write-only register that selects input or output for each pin in port A.
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
PA7 DDR PA6 DDR PA5 DDR PA4 DDR PA3 DDR PA2 DDR PA1 DDR PA0 DDR
Port A data direction 7 to 0 These bits select input or output for port A pins
Port A is multiplexed with pins TP7 to TP0. Bits corresponding to pins used for TPC output must be set to 1. For further information about PADDR, see section 9.11, Port A. 11.2.2 Port A Data Register (PADR) PADR is an 8-bit readable/writable register that stores TPC output data for groups 0 and 1, when these TPC output groups are used.
Bit Initial value Read/Write 7 PA 7 0 R/(W)* 6 PA 6 0 R/(W)* 5 PA 5 0 R/(W)* 4 PA 4 0 R/(W)* 3 PA 3 0 R/(W)* 2 PA 2 0 R/(W)* 1 PA 1 0 R/(W)* 0 PA 0 0 R/(W)*
Port A data 7 to 0 These bits store output data for TPC output groups 0 and 1 Note: * Bits selected for TPC output by NDERA settings become read-only bits.
For further information about PADR, see section 9.11, Port A.
399
11.2.3 Port B Data Direction Register (PBDDR) PBDDR is an 8-bit write-only register that selects input or output for each pin in port B.
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
PB7 DDR PB6 DDR PB5 DDR PB4 DDR PB3 DDR PB2 DDR PB1 DDR PB0 DDR
Port B data direction 7 to 0 These bits select input or output for port B pins
Port B is multiplexed with pins TP15 to TP8. Bits corresponding to pins used for TPC output must be set to 1. For further information about PBDDR, see section 9.12, Port B. 11.2.4 Port B Data Register (PBDR) PBDR is an 8-bit readable/writable register that stores TPC output data for groups 2 and 3, when these TPC output groups are used.
Bit Initial value Read/Write 7 PB 7 0 R/(W)* 6 PB 6 0 R/(W)* 5 PB 5 0 R/(W)* 4 PB 4 0 R/(W)* 3 PB 3 0 R/(W)* 2 PB 2 0 R/(W)* 1 PB 1 0 R/(W)* 0 PB 0 0 R/(W)*
Port B data 7 to 0 These bits store output data for TPC output groups 2 and 3 Note: * Bits selected for TPC output by NDERB settings become read-only bits.
For further information about PBDR, see section 9.12, Port B.
400
11.2.5 Next Data Register A (NDRA) NDRA is an 8-bit readable/writable register that stores the next output data for TPC output groups 1 and 0 (pins TP7 to TP0). During TPC output, when an ITU compare match event specified in TPCR occurs, NDRA contents are transferred to the corresponding bits in PADR. The address of NDRA differs depending on whether TPC output groups 0 and 1 have the same output trigger or different output triggers. NDRA is initialized to H'00 by a reset and in hardware standby mode. It is not initialized in software standby mode. Same Trigger for TPC Output Groups 0 and 1: If TPC output groups 0 and 1 are triggered by the same compare match event, the NDRA address is H'FFA5. The upper 4 bits belong to group 1 and the lower 4 bits to group 0. Address H'FFA7 consists entirely of reserved bits that cannot be modified and are always read as 1. Address H'FFA5
Bit Initial value Read/Write 7 NDR7 0 R/W 6 NDR6 0 R/W 5 NDR5 0 R/W 4 NDR4 0 R/W 3 NDR3 0 R/W 2 NDR2 0 R/W 1 NDR1 0 R/W 0 NDR0 0 R/W
Next data 7 to 4 These bits store the next output data for TPC output group 1
Next data 3 to 0 These bits store the next output data for TPC output group 0
Address H'FFA7
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Reserved bits
401
Different Triggers for TPC Output Groups 0 and 1: If TPC output groups 0 and 1 are triggered by different compare match events, the address of the upper 4 bits of NDRA (group 1) is H'FFA5 and the address of the lower 4 bits (group 0) is H'FFA7. Bits 3 to 0 of address H'FFA5 and bits 7 to 4 of address H'FFA7 are reserved bits that cannot be modified and are always read as 1. Address H'FFA5
Bit Initial value Read/Write 7 NDR7 0 R/W 6 NDR6 0 R/W 5 NDR5 0 R/W 4 NDR4 0 R/W 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Next data 7 to 4 These bits store the next output data for TPC output group 1
Reserved bits
Address H'FFA7
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 NDR3 0 R/W 2 NDR2 0 R/W 1 NDR1 0 R/W 0 NDR0 0 R/W
Reserved bits
Next data 3 to 0 These bits store the next output data for TPC output group 0
402
11.2.6 Next Data Register B (NDRB) NDRB is an 8-bit readable/writable register that stores the next output data for TPC output groups 3 and 2 (pins TP15 to TP8). During TPC output, when an ITU compare match event specified in TPCR occurs, NDRB contents are transferred to the corresponding bits in PBDR. The address of NDRB differs depending on whether TPC output groups 2 and 3 have the same output trigger or different output triggers. NDRB is initialized to H'00 by a reset and in hardware standby mode. It is not initialized in software standby mode. Same Trigger for TPC Output Groups 2 and 3: If TPC output groups 2 and 3 are triggered by the same compare match event, the NDRB address is H'FFA4. The upper 4 bits belong to group 3 and the lower 4 bits to group 2. Address H'FFA6 consists entirely of reserved bits that cannot be modified and are always read as 1. Address H'FFA4
Bit Initial value Read/Write 7 NDR15 0 R/W 6 NDR14 0 R/W 5 NDR13 0 R/W 4 NDR12 0 R/W 3 NDR11 0 R/W 2 NDR10 0 R/W 1 NDR9 0 R/W 0 NDR8 0 R/W
Next data 15 to 12 These bits store the next output data for TPC output group 3
Next data 11 to 8 These bits store the next output data for TPC output group 2
Address H'FFA6
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Reserved bits
403
Different Triggers for TPC Output Groups 2 and 3: If TPC output groups 2 and 3 are triggered by different compare match events, the address of the upper 4 bits of NDRB (group 3) is H'FFA4 and the address of the lower 4 bits (group 2) is H'FFA6. Bits 3 to 0 of address H'FFA4 and bits 7 to 4 of address H'FFA6 are reserved bits that cannot be modified and are always read as 1. Address H'FFA4
Bit Initial value Read/Write 7 NDR15 0 R/W 6 NDR14 0 R/W 5 NDR13 0 R/W 4 NDR12 0 R/W 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Next data 15 to 12 These bits store the next output data for TPC output group 3
Reserved bits
Address H'FFA6
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 NDR11 0 R/W 2 NDR10 0 R/W 1 NDR9 0 R/W 0 NDR8 0 R/W
Reserved bits
Next data 11 to 8 These bits store the next output data for TPC output group 2
404
11.2.7 Next Data Enable Register A (NDERA) NDERA is an 8-bit readable/writable register that enables or disables TPC output groups 1 and 0 (TP7 to TP0) on a bit-by-bit basis.
Bit Initial value Read/Write 7 NDER7 0 R/W 6 NDER6 0 R/W 5 NDER5 0 R/W 4 NDER4 0 R/W 3 NDER3 0 R/W 2 NDER2 0 R/W 1 NDER1 0 R/W 0 NDER0 0 R/W
Next data enable 7 to 0 These bits enable or disable TPC output groups 1 and 0
If a bit is enabled for TPC output by NDERA, then when the ITU compare match event selected in the TPC output control register (TPCR) occurs, the NDRA value is automatically transferred to the corresponding PADR bit, updating the output value. If TPC output is disabled, the bit value is not transferred from NDRA to PADR and the output value does not change. NDERA is initialized to H'00 by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 7 to 0--Next Data Enable 7 to 0 (NDER7 to NDER0): These bits enable or disable TPC output groups 1 and 0 (TP7 to TP0) on a bit-by-bit basis.
Bits 7 to 0 NDER7 to NDER0 0 1 Description TPC outputs TP7 to TP0 are disabled (NDR7 to NDR0 are not transferred to PA7 to PA0) TPC outputs TP7 to TP0 are enabled (NDR7 to NDR0 are transferred to PA7 to PA0) (Initial value)
405
11.2.8 Next Data Enable Register B (NDERB) NDERB is an 8-bit readable/writable register that enables or disables TPC output groups 3 and 2 (TP15 to TP8) on a bit-by-bit basis.
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 NDER8 0 R/W
NDER15 NDER14 NDER13 NDER12 NDER11 NDER10 NDER9
Next data enable 15 to 8 These bits enable or disable TPC output groups 3 and 2
If a bit is enabled for TPC output by NDERB, then when the ITU compare match event selected in the TPC output control register (TPCR) occurs, the NDRB value is automatically transferred to the corresponding PBDR bit, updating the output value. If TPC output is disabled, the bit value is not transferred from NDRB to PBDR and the output value does not change. NDERB is initialized to H'00 by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 7 to 0--Next Data Enable 15 to 8 (NDER15 to NDER8): These bits enable or disable TPC output groups 3 and 2 (TP15 to TP8) on a bit-by-bit basis.
Bits 7 to 0 NDER15 to NDER8 0 1 Description TPC outputs TP15 to TP8 are disabled (NDR15 to NDR8 are not transferred to PB7 to PB0) TPC outputs TP15 to TP8 are enabled (NDR15 to NDR8 are transferred to PB7 to PB0) (Initial value)
406
11.2.9 TPC Output Control Register (TPCR) TPCR is an 8-bit readable/writable register that selects output trigger signals for TPC outputs on a group-by-group basis.
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W 2 1 R/W 1 1 R/W 0 1 R/W
G3CMS1 G3CMS0 G2CMS1 G2CMS0 G1CMS1 G1CMS0 G0CMS1 G0CMS0
Group 3 compare match select 1 and 0 These bits select the compare match Group 2 compare event that triggers TPC output group 3 match select 1 and 0 These bits select (TP15 to TP12 ) the compare match event that triggers Group 1 compare TPC output group 2 match select 1 and 0 These bits select (TP11 to TP8 ) the compare match event that triggers Group 0 compare TPC output group 1 match select 1 and 0 These bits select (TP7 to TP4 ) the compare match event that triggers TPC output group 0 (TP3 to TP0 )
TPCR is initialized to H'FF by a reset and in hardware standby mode. It is not initialized in software standby mode.
407
Bits 7 and 6--Group 3 Compare Match Select 1 and 0 (G3CMS1, G3CMS0): These bits select the compare match event that triggers TPC output group 3 (TP15 to TP12).
Bit 7 G3CMS1 0 Bit 6 G3CMS0 0 1 1 0 1 Description TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 0 TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 1 TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 2 TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 3 (Initial value)
Bits 5 and 4--Group 2 Compare Match Select 1 and 0 (G2CMS1, G2CMS0): These bits select the compare match event that triggers TPC output group 2 (TP11 to TP8).
Bit 5 G2CMS1 0 Bit 4 G2CMS0 0 1 1 0 1 Description TPC output group 2 (TP11 to TP8) is triggered by compare match in ITU channel 0 TPC output group 2 (TP11 to TP8) is triggered by compare match in ITU channel 1 TPC output group 2 (TP11 to TP8) is triggered by compare match in ITU channel 2 TPC output group 2 (TP11 to TP8) is triggered by compare match in ITU channel 3 (Initial value)
408
Bits 3 and 2--Group 1 Compare Match Select 1 and 0 (G1CMS1, G1CMS0): These bits select the compare match event that triggers TPC output group 1 (TP7 to TP4).
Bit 3 G1CMS1 0 Bit 2 G1CMS0 0 1 1 0 1 Description TPC output group 1 (TP7 to TP4) is triggered by compare match in ITU channel 0 TPC output group 1 (TP7 to TP4) is triggered by compare match in ITU channel 1 TPC output group 1 (TP7 to TP4) is triggered by compare match in ITU channel 2 TPC output group 1 (TP7 to TP4) is triggered by compare match in ITU channel 3 (Initial value)
Bits 1 and 0--Group 0 Compare Match Select 1 and 0 (G0CMS1, G0CMS0): These bits select the compare match event that triggers TPC output group 0 (TP3 to TP0).
Bit 1 G0CMS1 0 Bit 0 G0CMS0 0 1 1 0 1 Description TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 0 TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 1 TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 2 TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 3 (Initial value)
409
11.2.10 TPC Output Mode Register (TPMR) TPMR is an 8-bit readable/writable register that selects normal or non-overlapping TPC output for each group.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
G3NOV G2NOV
G1NOV G0NOV
Reserved bits Group 3 non-overlap Selects non-overlapping TPC output for group 3 (TP15 to TP12 ) Group 2 non-overlap Selects non-overlapping TPC output for group 2 (TP11 to TP8 ) Group 1 non-overlap Selects non-overlapping TPC output for group 1 (TP7 to TP4 ) Group 0 non-overlap Selects non-overlapping TPC output for group 0 (TP3 to TP0 )
The output trigger period of a non-overlapping TPC output waveform is set in general register B (GRB) in the ITU channel selected for output triggering. The non-overlap margin is set in general register A (GRA). The output values change at compare match A and B. For details see section 11.3.4, Non-Overlapping TPC Output. TPMR is initialized to H'F0 by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 7 to 4--Reserved: Read-only bits, always read as 1.
410
Bit 3--Group 3 Non-Overlap (G3NOV): Selects normal or non-overlapping TPC output for group 3 (TP15 to TP12).
Bit 3 G3NOV 0 1 Description Normal TPC output in group 3 (output values change at compare match A in the selected ITU channel) Non-overlapping TPC output in group 3 (independent 1 and 0 output at compare match A and B in the selected ITU channel) (Initial value)
Bit 2--Group 2 Non-Overlap (G2NOV): Selects normal or non-overlapping TPC output for group 2 (TP11 to TP8).
Bit 2 G2NOV 0 1 Description Normal TPC output in group 2 (output values change at compare match A in the selected ITU channel) Non-overlapping TPC output in group 2 (independent 1 and 0 output at compare match A and B in the selected ITU channel) (Initial value)
Bit 1--Group 1 Non-Overlap (G1NOV): Selects normal or non-overlapping TPC output for group 1 (TP7 to TP4).
Bit 1 G1NOV 0 1 Description Normal TPC output in group 1 (output values change at compare match A in the selected ITU channel) Non-overlapping TPC output in group 1 (independent 1 and 0 output at compare match A and B in the selected ITU channel) (Initial value)
Bit 0--Group 0 Non-Overlap (G0NOV): Selects normal or non-overlapping TPC output for group 0 (TP3 to TP0).
Bit 0 G0NOV 0 1 Description Normal TPC output in group 0 (output values change at compare match A in the selected ITU channel) Non-overlapping TPC output in group 0 (independent 1 and 0 output at compare match A and B in the selected ITU channel) (Initial value)
411
11.3 Operation
11.3.1 Overview When corresponding bits in PADDR or PBDDR and NDERA or NDERB are set to 1, TPC output is enabled. The TPC output initially consists of the corresponding PADR or PBDR contents. When a compare-match event selected in TPCR occurs, the corresponding NDRA or NDRB bit contents are transferred to PADR or PBDR to update the output values. Figure 11-2 illustrates the TPC output operation. Table 11-3 summarizes the TPC operating conditions.
DDR Q
NDER Q Output trigger signal
C Q TPC output pin DR D Q NDR D Internal data bus
Figure 11-2 TPC Output Operation Table 11-3 TPC Operating Conditions
NDER 0 DDR 0 1 1 0 1 Pin Function Generic input port Generic output port Generic input port (but the DR bit is a read-only bit, and when compare match occurs, the NDR bit value is transferred to the DR bit) TPC pulse output
Sequential output of up to 16-bit patterns is possible by writing new output data to NDRA and NDRB before the next compare match. For information on non-overlapping operation, see section 11.3.4, Non-Overlapping TPC Output.
412
11.3.2 Output Timing If TPC output is enabled, NDRA/NDRB contents are transferred to PADR/PBDR and output when the selected compare match event occurs. Figure 11-3 shows the timing of these operations for the case of normal output in groups 2 and 3, triggered by compare match A.
o
TCNT
N
N+1
GRA Compare match A signal
N
NDRB
n
PBDR TP8 to TP15
m m
n n
Figure 11-3 Timing of Transfer of Next Data Register Contents and Output (Example)
413
11.3.3 Normal TPC Output Sample Setup Procedure for Normal TPC Output: Figure 11-4 shows a sample procedure for setting up normal TPC output.
Normal TPC output
Select GR functions Set GRA value ITU setup Select counting operation Select interrupt request
1 2 3 4
1.
Set initial output data Select port output Port and TPC setup Enable TPC output Select TPC output trigger Set next TPC output data
5 6 7 8 9
ITU setup
Start counter
10
Set TIOR to make GRA an output compare register (with output inhibited). 2. Set the TPC output trigger period. 3. Select the counter clock source with bits TPSC2 to TPSC0 in TCR. Select the counter clear source with bits CCLR1 and CCLR0. 4. Enable the IMFA interrupt in TIER. The DMAC can also be set up to transfer data to the next data register. 5. Set the initial output values in the DR bits of the input/output port pins to be used for TPC output. 6. Set the DDR bits of the input/output port pins to be used for TPC output to 1. 7. Set the NDER bits of the pins to be used for TPC output to 1. 8. Select the ITU compare match event to be used as the TPC output trigger in TPCR. 9. Set the next TPC output values in the NDR bits. 10. Set the STR bit to 1 in TSTR to start the timer counter. 11. At each IMFA interrupt, set the next output values in the NDR bits.
Compare match? Yes Set next TPC output data
No
11
Figure 11-4 Setup Procedure for Normal TPC Output (Example)
414
Example of Normal TPC Output (Example of Five-Phase Pulse Output): Figure 11-5 shows an example in which the TPC is used for cyclic five-phase pulse output.
TCNT value TCNT GRA
Compare match
H'0000 NDRB 80 C0 40 60 20 30 10 18 08 88 80 C0 40
Time
PBDR
00
80
C0
40
60
20
30
10
18
08
88
80
C0
TP15
TP14 TP13 TP12
TP11
*
*
*
*
The ITU channel to be used as the output trigger channel is set up so that GRA is an output compare register and the counter will be cleared by compare match A. The trigger period is set in GRA. The IMIEA bit is set to 1 in TIER to enable the compare match A interrupt. H'F8 is written in PBDDR and NDERB, and bits G3CMS1, G3CMS0, G2CMS1, and G2CMS0 are set in TPCR to select compare match in the ITU channel set up in step 1 as the output trigger. Output data H'80 is written in NDRB. The timer counter in this ITU channel is started. When compare match A occurs, the NDRB contents are transferred to PBDR and output. The compare match/input capture A (IMFA) interrupt service routine writes the next output data (H'C0) in NDRB. Five-phase overlapping pulse output (one or two phases active at a time) can be obtained by writing H'40, H'60, H'20, H'30, H'10, H'18, H'08, H'88... at successive IMFA interrupts. If the DMAC is set for activation by this interrupt, pulse output can be obtained without loading the CPU.
Figure 11-5 Normal TPC Output Example (Five-Phase Pulse Output)
415
11.3.4 Non-Overlapping TPC Output Sample Setup Procedure for Non-Overlapping TPC Output: Figure 11-6 shows a sample procedure for setting up non-overlapping TPC output.
Non-overlapping TPC output Select GR functions Set GR values ITU setup Select counting operation Select interrupt requests 3 4 1 2 1. Set TIOR to make GRA and GRB output compare registers (with output inhibited). 2. Set the TPC output trigger period in GRB and the non-overlap margin in GRA. 3. Select the counter clock source with bits TPSC2 to TPSC0 in TCR. Select the counter clear source with bits CCLR1 and CCLR0. 4. Enable the IMFA interrupt in TIER. The DMAC can also be set up to transfer data to the next data register. 5. Set the initial output values in the DR bits of the input/output port pins to be used for TPC output. 6. Set the DDR bits of the input/output port pins to be used for TPC output to 1. 7. Set the NDER bits of the pins to be used for TPC output to 1. 8. In TPCR, select the ITU compare match event to be used as the TPC output trigger. 9. In TPMR, select the groups that will operate in non-overlap mode. 10. Set the next TPC output values in the NDR bits. 11. Set the STR bit to 1 in TSTR to start the timer counter. 12. At each IMFA interrupt, write the next output value in the NDR bits.
Set initial output data Set up TPC output Enable TPC transfer Port and TPC setup Select TPC transfer trigger Select non-overlapping groups Set next TPC output data
5 6 7 8 9 10
ITU setup
Start counter
11
Compare match A? Yes Set next TPC output data
No
12
Figure 11-6 Setup Procedure for Non-Overlapping TPC Output (Example)
416
Example of Non-Overlapping TPC Output (Example of Four-Phase Complementary NonOverlapping Output): Figure 11-7 shows an example of the use of TPC output for four-phase complementary non-overlapping pulse output.
TCNT value GRB GRA H'0000 NDRB 95 65 59 56 95 65 Time TCNT
PBDR
00
95
05
65
41
59
50
56
14
95
05
65
Non-overlap margin TP15
TP14 TP13 TP12
TP11 TP10 TP9 TP8 This operation example is described below. * The output trigger ITU channel is set up so that GRA and GRB are output compare registers and the counter will be cleared by compare match B. The TPC output trigger period is set in GRB. The nonoverlap margin is set in GRA. The IMIEA bit is set to 1 in TIER to enable IMFA interrupts. * H'FF is written in PBDDR and NDERB, and bits G3CMS1, G3CMS0, G2CMS1, and G2CMS0 are set in TPCR to select compare match in the ITU channel set up in step 1 as the output trigger. Bits G3NOV and G2NOV are set to 1 in TPMR to select non-overlapping output. Output data H'95 is written in NDRB. * The timer counter in this ITU channel is started. When compare match B occurs, outputs change from 1 to 0. When compare match A occurs, outputs change from 0 to 1 (the change from 0 to 1 is delayed by the value of GRA). The IMFA interrupt service routine writes the next output data (H'65) in NDRB. * Four-phase complementary non-overlapping pulse output can be obtained by writing H'59, H'56, H'95... at successive IMFA interrupts. If the DMAC is set for activation by this interrupt, pulse output can be obtained without loading the CPU.
Figure 11-7 Non-Overlapping TPC Output Example (Four-Phase Complementary Non-Overlapping Pulse Output)
417
11.3.5 TPC Output Triggering by Input Capture TPC output can be triggered by ITU input capture as well as by compare match. If GRA functions as an input capture register in the ITU channel selected in TPCR, TPC output will be triggered by the input capture signal. Figure 11-8 shows the timing.
o
TIOC pin Input capture signal NDR N
DR
M
N
Figure 11-8 TPC Output Triggering by Input Capture (Example)
418
11.4 Usage Notes
11.4.1 Operation of TPC Output Pins TP0 to TP15 are multiplexed with ITU, DMAC, address bus, and other pin functions. When ITU, DMAC, or address output is enabled, the corresponding pins cannot be used for TPC output. The data transfer from NDR bits to DR bits takes place, however, regardless of the usage of the pin. Pin functions should be changed only under conditions in which the output trigger event will not occur. 11.4.2 Note on Non-Overlapping Output During non-overlapping operation, the transfer of NDR bit values to DR bits takes place as follows. 1. 2. NDR bits are always transferred to DR bits at compare match A. At compare match B, NDR bits are transferred only if their value is 0. Bits are not transferred if their value is 1.
Figure 11-9 illustrates the non-overlapping TPC output operation.
DDR Q
NDER Q Compare match A Compare match B
C Q TPC output pin DR D Q NDR D Internal data bus
Figure 11-9 Non-Overlapping TPC Output
419
Therefore, 0 data can be transferred ahead of 1 data by making compare match B occur before compare match A. NDR contents should not be altered during the interval from compare match B to compare match A (the non-overlap margin). This can be accomplished by having the IMFA interrupt service routine write the next data in NDR, or by having the IMFA interrupt activate the DMAC. The next data must be written before the next compare match B occurs. Figure 11-10 shows the timing relationships.
Compare match A Compare match B NDR write NDR write
NDR
DR 0 output 0/1 output Write to NDR in this interval Do not write to NDR in this interval Do not write to NDR in this interval 0 output 0/1 output Write to NDR in this interval
Figure 11-10 Non-Overlapping Operation and NDR Write Timing
420
Section 12 Watchdog Timer
12.1 Overview
The H8/3048 Series has an on-chip watchdog timer (WDT). The WDT has two selectable functions: it can operate as a watchdog timer to supervise system operation, or it can operate as an interval timer. As a watchdog timer, it generates a reset signal for the chip if a system crash allows the timer counter (TCNT) to overflow before being rewritten. In interval timer operation, an interval timer interrupt is requested at each TCNT overflow. 12.1.1 Features WDT features are listed below. * Selection of eight counter clock sources o/2, o/32, o/64, o/128, o/256, o/512, o/2048, or o/4096 * * Interval timer option Timer counter overflow generates a reset signal or interrupt. The reset signal is generated in watchdog timer operation. An interval timer interrupt is generated in interval timer operation. * Watchdog timer reset signal resets the entire chip internally, and can also be output externally. The reset signal generated by timer counter overflow during watchdog timer operation resets the entire chip internally. An external reset signal can be output from the RESO pin to reset other system devices simultaneously.
421
12.1.2 Block Diagram Figure 12-1 shows a block diagram of the WDT.
Overflow TCNT Interrupt signal (interval timer) Interrupt control TCSR Read/ write control
Internal data bus
RSTCSR
Internal clock sources o/2 o/32
Reset (internal, external)
Reset control
o/64 Clock Clock selector o/128 o/256 o/512 o/2048 o/4096
Legend TCNT: Timer counter TCSR: Timer control/status register RSTCSR: Reset control/status register
Figure 12-1 WDT Block Diagram 12.1.3 Pin Configuration Table 12-1 describes the WDT output pin. Table 12-1 WDT Pin
Name Reset output Abbreviation RESO I/O Output* Function External output of the watchdog timer reset signal
Note: * Open-drain output.
422
12.1.4 Register Configuration Table 12-2 summarizes the WDT registers. Table 12-2 WDT Registers
Address*1 Write*2 H'FFA8 Read H'FFA8 H'FFA9 H'FFAA H'FFAB Name Timer control/status register Timer counter Reset control/status register Abbreviation TCSR TCNT RSTCSR R/W R/(W)*3 R/W R/(W)*3 Initial Value H'18 H'00 H'3F
Notes: 1. Lower 16 bits of the address. 2. Write word data starting at this address. 3. Only 0 can be written in bit 7, to clear the flag.
423
12.2 Register Descriptions
12.2.1 Timer Counter (TCNT) TCNT is an 8-bit readable and writable* up-counter.
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
When the TME bit is set to 1 in TCSR, TCNT starts counting pulses generated from an internal clock source selected by bits CKS2 to CKS0 in TCSR. When the count overflows (changes from H'FF to H'00), the OVF bit is set to 1 in TCSR. TCNT is initialized to H'00 by a reset and when the TME bit is cleared to 0. Note: * TCNT is write-protected by a password. For details see section 12.2.4, Notes on Register Access.
424
12.2.2 Timer Control/Status Register (TCSR) TCSR is an 8-bit readable and writable*1 register. Its functions include selecting the timer mode and clock source.
Bit Initial value Read/Write 7 OVF 0 R/(W)*2 6 WT/IT 0 R/W 5 TME 0 R/W 4 -- 1 -- 3 -- 1 -- 2 CKS2 0 R/W 1 CKS1 0 R/W 0 CKS0 0 R/W
Clock select These bits select the TCNT clock source Reserved bits Timer enable Selects whether TCNT runs or halts Timer mode select Selects the mode Overflow flag Status flag indicating overflow
Bits 7 to 5 are initialized to 0 by a reset and in standby mode. Bits 2 to 0 are initialized to 0 by a reset. In software standby mode bits 2 to 0 are not initialized, but retain their previous values. Notes: 1. TCSR differs from other registers in being more difficult to write. For details see section 12.2.4, Notes on Register Access. 2. Only 0 can be written, to clear the flag.
425
Bit 7--Overflow Flag (OVF): This status flag indicates that the timer counter has overflowed from H'FF to H'00.
Bit 7 OVF 0 1 Description [Clearing condition] Cleared by reading OVF when OVF = 1, then writing 0 in OVF [Setting condition] Set when TCNT changes from H'FF to H'00 (Initial value)
Bit 6--Timer Mode Select (WT/IT): Selects whether to use the WDT as a watchdog timer or interval timer. If used as an interval timer, the WDT generates an interval timer interrupt request when TCNT overflows. If used as a watchdog timer, the WDT generates a reset signal when TCNT overflows.
Bit 6 WT/IT 0 1 Description Interval timer: requests interval timer interrupts Watchdog timer: generates a reset signal (Initial value)
Bit 5--Timer Enable (TME): Selects whether TCNT runs or is halted. When WT/IT = 1, clear the SYSCR software standby bit (SSBY) to 0, then set the TME to 1. When SSBY is set to 1, clear TME to 0.
Bit 5 TME 0 1 Description TCNT is initialized to H'00 and halted TCNT is counting and CPU interrupt requests are enabled (Initial value)
Bits 4 and 3--Reserved: Read-only bits, always read as 1.
426
Bits 2 to 0--Clock Select 2 to 0 (CKS2/1/0): These bits select one of eight internal clock sources, obtained by prescaling the system clock (o), for input to TCNT.
Bit 2 CKS2 0 Bit 1 CKS1 0 Bit 0 CKS0 0 1 1 0 1 1 0 0 1 1 0 1 Description o/2 o/32 o/64 o/128 o/256 o/512 o/2048 o/4096 (Initial value)
12.2.3 Reset Control/Status Register (RSTCSR) RSTCSR is an 8-bit readable and writable*1 register that indicates when a reset signal has been generated by watchdog timer overflow, and controls external output of the reset signal.
Bit Initial value Read/Write 7 WRST 0 R/(W)*2 6 RSTOE 0 R/W 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Reserved bits Reset output enable Enables or disables external output of the reset signal Watchdog timer reset Indicates that a reset signal has been generated
Bits 7 and 6 are initialized by input of a reset signal at the RES pin. They are not initialized by reset signals generated by watchdog timer overflow. Notes: 1. RSTCSR differs from other registers in being more difficult to write. For details see section 12.2.4, Notes on Register Access. 2. Only 0 can be written in bit 7, to clear the flag.
427
Bit 7--Watchdog Timer Reset (WRST): During watchdog timer operation, this bit indicates that TCNT has overflowed and generated a reset signal. This reset signal resets the entire chip internally. If bit RSTOE is set to 1, this reset signal is also output (low) at the RESO pin to initialize external system devices.
Bit 7 WRST 0 Description [Clearing conditions] Cleared to 0 by reset signal input at RES pin Cleared by reading WRST when WRST = 1, then writing 0 in WRST (Initial value)
1
[Setting condition] Set when TCNT overflow generates a reset signal during watchdog timer operation
Bit 6--Reset Output Enable (RSTOE): Enables or disables external output at the RESO pin of the reset signal generated if TCNT overflows during watchdog timer operation.
Bit 6 RSTOE 0 1 Description Reset signal is not output externally Reset signal is output externally (Initial value)
Bits 5 to 0--Reserved: Read-only bits, always read as 1.
428
12.2.4 Notes on Register Access The watchdog timer's TCNT, TCSR, and RSTCSR registers differ from other registers in being more difficult to write. The procedures for writing and reading these registers are given below. Writing to TCNT and TCSR: These registers must be written by a word transfer instruction. They cannot be written by byte instructions. Figure 12-2 shows the format of data written to TCNT and TCSR. TCNT and TCSR both have the same write address. The write data must be contained in the lower byte of the written word. The upper byte must contain H'5A (password for TCNT) or H'A5 (password for TCSR). This transfers the write data from the lower byte to TCNT or TCSR.
TCNT write Address H'FFA8*
15 H'5A
87 Write data
0
TCSR write Address H'FFA8*
15 H'A5
87 Write data
0
Note: * Lower 16 bits of the address.
Figure 12-2 Format of Data Written to TCNT and TCSR
429
Writing to RSTCSR: RSTCSR must be written by a word transfer instruction. It cannot be written by byte transfer instructions. Figure 12-3 shows the format of data written to RSTCSR. To write 0 in the WRST bit, the write data must have H'A5 in the upper byte and H'00 in the lower byte. The H'00 in the lower byte clears the WRST bit in RSTCSR to 0. To write to the RSTOE bit, the upper byte must contain H'5A and the lower byte must contain the write data. Writing this word transfers a write data value into the RSTOE bit.
Writing 0 in WRST bit Address H'FFAA*
15 H'A5
87 H'00
0
Writing to RSTOE bit Address H'FFAA*
15 H'5A
87 Write data
0
Note: * Lower 16 bits of the address.
Figure 12-3 Format of Data Written to RSTCSR Reading TCNT, TCSR, and RSTCSR: These registers are read like other registers. Byte access instructions can be used. The read addresses are H'FFA8 for TCSR, H'FFA9 for TCNT, and H'FFAB for RSTCSR, as listed in table 12-3. Table 12-3 Read Addresses of TCNT, TCSR, and RSTCSR
Address* H'FFA8 H'FFA9 H'FFAB Register TCSR TCNT RSTCSR
Note: * Lower 16 bits of the address.
430
12.3 Operation
Operations when the WDT is used as a watchdog timer and as an interval timer are described below. 12.3.1 Watchdog Timer Operation Figure 12-4 illustrates watchdog timer operation. To use the WDT as a watchdog timer, set the WT/IT and TME bits to 1 in TCSR. Software must prevent TCNT overflow by rewriting the TCNT value (normally by writing H'00) before overflow occurs. If TCNT fails to be rewritten and overflows due to a system crash etc., the chip is internally reset for a duration of 518 states. The watchdog reset signal can be externally output from the RESO pin to reset external system devices. The reset signal is output externally for 132 states. External output can be enabled or disabled by the RSTOE bit in RSTCSR. A watchdog reset has the same vector as a reset generated by input at the RES pin. Software can distinguish a RES reset from a watchdog reset by checking the WRST bit in RSTCSR. If a RES reset and a watchdog reset occur simultaneously, the RES reset takes priority.
H'FF TCNT count value H'00
WDT overflow
TME set to 1
OVF = 1 Start Internal reset signal H'00 written in TCNT Reset H'00 written in TCNT
518 states RESO
132 states
Figure 12-4 Watchdog Timer Operation
431
12.3.2 Interval Timer Operation Figure 12-5 illustrates interval timer operation. To use the WDT as an interval timer, clear bit WT/IT to 0 and set bit TME to 1 in TCSR. An interval timer interrupt request is generated at each TCNT overflow. This function can be used to generate interval timer interrupts at regular intervals.
H'FF
TCNT count value Time t H'00 WT/ IT = 0 TME = 1
Interval timer interrupt
Interval timer interrupt
Interval timer interrupt
Interval timer interrupt
Figure 12-5 Interval Timer Operation
432
12.3.3 Timing of Setting of Overflow Flag (OVF) Figure 12-6 shows the timing of setting of the OVF flag in TCSR. The OVF flag is set to 1 when TCNT overflows. At the same time, a reset signal is generated in watchdog timer operation, or an interval timer interrupt is generated in interval timer operation.
o
TCNT
H'FF
H'00
Overflow signal
OVF
Figure 12-6 Timing of Setting of OVF
433
12.3.4 Timing of Setting of Watchdog Timer Reset Bit (WRST) The WRST bit in RSTCSR is valid when bits WT/IT and TME are both set to 1 in TCSR. Figure 12-7 shows the timing of setting of WRST and the internal reset timing. The WRST bit is set to 1 when TCNT overflows and OVF is set to 1. At the same time an internal reset signal is generated for the entire chip. This internal reset signal clears OVF to 0, but the WRST bit remains set to 1. The reset routine must therefore clear the WRST bit.
o
TCNT
H'FF
H'00
Overflow signal
OVF
WDT internal reset
WRST
Figure 12-7 Timing of Setting of WRST Bit and Internal Reset
434
12.4 Interrupts
During interval timer operation, an overflow generates an interval timer interrupt (WOVI). The interval timer interrupt is requested whenever the OVF bit is set to 1 in TCSR.
12.5 Usage Notes
Contention between TCNT Write and Increment: If a timer counter clock pulse is generated during the T3 state of a write cycle to TCNT, the write takes priority and the timer count is not incremented. See figure 12-8.
Write cycle: CPU writes to TCNT T1 o T2 T3
TCNT
Internal write signal
TCNT input clock
TCNT
N
M Counter write data
Figure 12-8 Contention between TCNT Write and Increment Changing CKS2 to CKS0 Values: Halt TCNT by clearing the TME bit to 0 in TCSR before changing the values of bits CKS2 to CKS0.
435
Section 13 Serial Communication Interface
13.1 Overview
The H8/3048 Series has a serial communication interface (SCI) with two independent channels. The two channels are functionally identical. The SCI can communicate in asynchronous or synchronous mode. It also has a multiprocessor communication function for serial communication among two or more processors. When the SCI is not used, it can be halted to conserve power. Each SCI channel can be halted independently. For details see section 20.6, Module Standby Function. Channel 0 (SCI0) also has a smart card interface function conforming to the ISO/IEC7816-3 (Identification Card) standard. This function supports serial communication with a smart card. For details, see section 14, Smart Card Interface. 13.1.1 Features SCI features are listed below. * a. Selection of asynchronous or synchronous mode for serial communication Asynchronous mode Serial data communication is synchronized one character at a time. The SCI can communicate with a universal asynchronous receiver/transmitter (UART), asynchronous communication interface adapter (ACIA), or other chip that employs standard asynchronous serial communication. It can also communicate with two or more other processors using the multiprocessor communication function. There are twelve selectable serial data communication formats. -- -- -- -- -- -- Data length: Stop bit length: Parity bit: Multiprocessor bit: Receive error detection: Break detection: 7 or 8 bits 1 or 2 bits even, odd, or none 1 or 0 parity, overrun, and framing errors by reading the RxD level directly when a framing error occurs
437
b.
Synchronous mode Serial data communication is synchronized with a clock signal. The SCI can communicate with other chips having a synchronous communication function. There is one serial data communication format. -- Data length: 8 bits -- Receive error detection: overrun errors
*
Full duplex communication The transmitting and receiving sections are independent, so the SCI can transmit and receive simultaneously. The transmitting and receiving sections are both double-buffered, so serial data can be transmitted and received continuously.
* *
Built-in baud rate generator with selectable bit rates Selectable transmit/receive clock sources: internal clock from baud rate generator, or external clock from the SCK pin. Four types of interrupts Transmit-data-empty, transmit-end, receive-data-full, and receive-error interrupts are requested independently. The transmit-data-empty and receive-data-full interrupts from SCI0 can activate the DMA controller (DMAC) to transfer data.
*
438
13.1.2 Block Diagram Figure 13-1 shows a block diagram of the SCI.
Bus interface BRR Baud rate generator Clock External clock TEI TXI RXI ERI
Internal data bus
Module data bus
RDR RxD RSR
TDR TSR
SSR SCR SMR Transmit/ receive control
TxD
o o/4 o/16 o/64
Parity generate Parity check
SCK
Legend RSR: Receive shift register RDR: Receive data register TSR: Transmit shift register TDR: Transmit data register SMR: Serial mode register SCR: Serial control register SSR: Serial status register BRR: Bit rate register
Figure 13-1 SCI Block Diagram
439
13.1.3 Input/Output Pins The SCI has serial pins for each channel as listed in table 13-1. Table 13-1 SCI Pins
Channel 0 Name Serial clock pin Receive data pin Transmit data pin 1 Serial clock pin Receive data pin Transmit data pin Abbreviation SCK0 RxD0 TxD0 SCK1 RxD1 TxD1 I/O Input/output Input Output Input/output Input Output Function SCI0 clock input/output SCI0 receive data input SCI0 transmit data output SCI1 clock input/output SCI1 receive data input SCI1 transmit data output
13.1.4 Register Configuration The SCI has internal registers as listed in table 13-2. These registers select asynchronous or synchronous mode, specify the data format and bit rate, and control the transmitter and receiver sections. Table 13-2 Registers
Channel 0 Address*1 H'FFB0 H'FFB1 H'FFB2 H'FFB3 H'FFB4 H'FFB5 1 H'FFB8 H'FFB9 H'FFBA H'FFBB H'FFBC H'FFBD Name Serial mode register Bit rate register Serial control register Transmit data register Serial status register Receive data register Serial mode register Bit rate register Serial control register Transmit data register Serial status register Receive data register Abbreviation SMR BRR SCR TDR SSR RDR SMR BRR SCR TDR SSR RDR R/W R/W R/W R/W R/W R/(W)*2 R R/W R/W R/W R/W R/(W)*2 R Initial Value H'00 H'FF H'00 H'FF H'84 H'00 H'00 H'FF H'00 H'FF H'84 H'00
Notes: 1. Lower 16 bits of the address. 2. Only 0 can be written, to clear flags.
440
13.2 Register Descriptions
13.2.1 Receive Shift Register (RSR) RSR is the register that receives serial data.
Bit 7 6 5 4 3 2 1 0
Read/Write
--
--
--
--
--
--
--
--
The SCI loads serial data input at the RxD pin into RSR in the order received, LSB (bit 0) first, thereby converting the data to parallel data. When 1 byte has been received, it is automatically transferred to RDR. The CPU cannot read or write RSR directly. 13.2.2 Receive Data Register (RDR) RDR is the register that stores received serial data.
Bit Initial value Read/Write 7 0 R 6 0 R 5 0 R 4 0 R 3 0 R 2 0 R 1 0 R 0 0 R
When the SCI finishes receiving 1 byte of serial data, it transfers the received data from RSR into RDR for storage. RSR is then ready to receive the next data. This double buffering allows data to be received continuously. RDR is a read-only register. Its contents cannot be modified by the CPU. RDR is initialized to H'00 by a reset and in standby mode.
441
13.2.3 Transmit Shift Register (TSR) TSR is the register that transmits serial data.
Bit 7 6 5 4 3 2 1 0
Read/Write
--
--
--
--
--
--
--
--
The SCI loads transmit data from TDR into TSR, then transmits the data serially from the TxD pin, LSB (bit 0) first. After transmitting one data byte, the SCI automatically loads the next transmit data from TDR into TSR and starts transmitting it. If the TDRE flag is set to 1 in SSR, however, the SCI does not load the TDR contents into TSR. The CPU cannot read or write TSR directly. 13.2.4 Transmit Data Register (TDR) TDR is an 8-bit register that stores data for serial transmission.
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W 2 1 R/W 1 1 R/W 0 1 R/W
When the SCI detects that TSR is empty, it moves transmit data written in TDR from TDR into TSR and starts serial transmission. Continuous serial transmission is possible by writing the next transmit data in TDR during serial transmission from TSR. The CPU can always read and write TDR. TDR is initialized to H'FF by a reset and in standby mode.
442
13.2.5 Serial Mode Register (SMR) SMR is an 8-bit register that specifies the SCI serial communication format and selects the clock source for the baud rate generator.
Bit Initial value Read/Write 7 C/A 0 R/W 6 CHR 0 R/W 5 PE 0 R/W 4 O/E 0 R/W 3 STOP 0 R/W 2 MP 0 R/W 1 CKS1 0 R/W 0 CKS0 0 R/W
Clock select 1/0 These bits select the baud rate generator's clock source Multiprocessor mode Selects the multiprocessor function Stop bit length Selects the stop bit length Parity mode Selects even or odd parity Parity enable Selects whether a parity bit is added Character length Selects character length in asynchronous mode Communication mode Selects asynchronous or synchronous mode
The CPU can always read and write SMR. SMR is initialized to H'00 by a reset and in standby mode.
443
Bit 7--Communication Mode (C/A): Selects whether the SCI operates in asynchronous or synchronous mode.
Bit 7 C/A 0 1 Description Asynchronous mode Synchronous mode (Initial value)
Bit 6--Character Length (CHR): Selects 7-bit or 8-bit data length in asynchronous mode. In synchronous mode the data length is 8 bits regardless of the CHR setting.
Bit 6 CHR 0 1 Description 8-bit data 7-bit data* (Initial value)
Note: * When 7-bit data is selected, the MSB (bit 7) in TDR is not transmitted.
Bit 5--Parity Enable (PE): In asynchronous mode, this bit enables or disables the addition of a parity bit to transmit data, and the checking of the parity bit in receive data. In synchronous mode the parity bit is neither added nor checked, regardless of the PE setting.
Bit 5 PE 0 1 Description Parity bit not added or checked Parity bit added and checked* (Initial value)
Note: * When PE is set to 1, an even or odd parity bit is added to transmit data according to the even or odd parity mode selected by the O/E bit, and the parity bit in receive data is checked to see that it matches the even or odd mode selected by the O/E bit.
444
Bit 4--Parity Mode (O/E): Selects even or odd parity. The O/E bit setting is valid in asynchronous mode when the PE bit is set to 1 to enable the adding and checking of a parity bit. The O/E setting is ignored in synchronous mode, or when parity adding and checking is disabled in asynchronous mode.
Bit 4 O/E 0 1 Description Even parity*1 Odd parity*2 (Initial value)
Notes: 1. When even parity is selected, the parity bit added to transmit data makes an even number of 1s in the transmitted character and parity bit combined. Receive data must have an even number of 1s in the received character and parity bit combined. 2. When odd parity is selected, the parity bit added to transmit data makes an odd number of 1s in the transmitted character and parity bit combined. Receive data must have an odd number of 1s in the received character and parity bit combined.
Bit 3--Stop Bit Length (STOP): Selects one or two stop bits in asynchronous mode. This setting is used only in asynchronous mode. In synchronous mode no stop bit is added, so the STOP bit setting is ignored.
Bit 3 STOP 0 1 Description One stop bit*1 Two stop bits*2 (Initial value)
Notes: 1. One stop bit (with value 1) is added at the end of each transmitted character. 2. Two stop bits (with value 1) are added at the end of each transmitted character.
In receiving, only the first stop bit is checked, regardless of the STOP bit setting. If the second stop bit is 1 it is treated as a stop bit. If the second stop bit is 0 it is treated as the start bit of the next incoming character.
445
Bit 2--Multiprocessor Mode (MP): Selects a multiprocessor format. When a multiprocessor format is selected, parity settings made by the PE and O/E bits are ignored. The MP bit setting is valid only in asynchronous mode. It is ignored in synchronous mode. For further information on the multiprocessor communication function, see section 13.3.3, Multiprocessor Communication.
Bit 2 MP 0 1 Description Multiprocessor function disabled Multiprocessor format selected (Initial value)
Bits 1 and 0--Clock Select 1 and 0 (CKS1/0): These bits select the clock source of the on-chip baud rate generator. Four clock sources are available: o, o/4, o/16, and o/64. For the relationship between the clock source, bit rate register setting, and baud rate, see section 13.2.8, Bit Rate Register (BRR).
Bit 1 CKS1 0 0 1 1 Bit 0 CKS0 0 1 0 1 Description o o/4 o/16 o/64 (Initial value)
446
13.2.6 Serial Control Register (SCR) SCR enables the SCI transmitter and receiver, enables or disables serial clock output in asynchronous mode, enables or disables interrupts, and selects the transmit/receive clock source.
Bit Initial value Read/Write 7 TIE 0 R/W 6 RIE 0 R/W 5 TE 0 R/W 4 RE 0 R/W 3 MPIE 0 R/W 2 TEIE 0 R/W 1 CKE1 0 R/W 0 CKE0 0 R/W
Clock enable 1/0 These bits select the SCI clock source Transmit-end interrupt enable Enables or disables transmitend interrupts (TEI) Multiprocessor interrupt enable Enables or disables multiprocessor interrupts Receive enable Enables or disables the receiver Transmit enable Enables or disables the transmitter Receive interrupt enable Enables or disables receive-data-full interrupts (RXI) and receive-error interrupts (ERI) Transmit interrupt enable Enables or disables transmit-data-empty interrupts (TXI)
The CPU can always read and write SCR. SCR is initialized to H'00 by a reset and in standby mode.
447
Bit 7--Transmit Interrupt Enable (TIE): Enables or disables the transmit-data-empty interrupt (TXI) requested when the TDRE flag in SSR is set to 1 due to transfer of serial transmit data from TDR to TSR.
Bit 7 TIE 0 1 Description Transmit-data-empty interrupt request (TXI) is disabled* Transmit-data-empty interrupt request (TXI) is enabled (Initial value)
Note: * TXI interrupt requests can be cleared by reading the value 1 from the TDRE flag, then clearing it to 0; or by clearing the TIE bit to 0.
Bit 6--Receive Interrupt Enable (RIE): Enables or disables the receive-data-full interrupt (RXI) requested when the RDRF flag is set to 1 in SSR due to transfer of serial receive data from RSR to RDR; also enables or disables the receive-error interrupt (ERI).
Bit 6 RIE 0 1 Description Receive-data-full (RXI) and receive-error (ERI) interrupt requests are disabled (Initial value) Receive-data-full (RXI) and receive-error (ERI) interrupt requests are enabled
Note: * RXI and ERI interrupt requests can be cleared by reading the value 1 from the RDRF, FER, PER, or ORER flag, then clearing it to 0; or by clearing the RIE bit to 0.
Bit 5--Transmit Enable (TE): Enables or disables the start of SCI serial transmitting operations.
Bit 5 TE 0 1 Description Transmitting disabled*1 Transmitting enabled*2 (Initial value)
Notes: 1. The TDRE bit is locked at 1 in SSR. 2. In the enabled state, serial transmitting starts when the TDRE bit in SSR is cleared to 0 after writing of transmit data into TDR. Select the transmit format in SMR before setting the TE bit to 1.
448
Bit 4--Receive Enable (RE): Enables or disables the start of SCI serial receiving operations.
Bit 4 RE 0 1 Description Receiving disabled*1 Receiving enabled*2 (Initial value)
Notes: 1. Clearing the RE bit to 0 does not affect the RDRF, FER, PER, and ORER flags. These flags retain their previous values. 2. In the enabled state, serial receiving starts when a start bit is detected in asynchronous mode, or serial clock input is detected in synchronous mode. Select the receive format in SMR before setting the RE bit to 1.
Bit 3--Multiprocessor Interrupt Enable (MPIE): Enables or disables multiprocessor interrupts. The MPIE setting is valid only in asynchronous mode, and only if the MP bit is set to 1 in SMR. The MPIE setting is ignored in synchronous mode or when the MP bit is cleared to 0.
Bit 3 MPIE 0 Description Multiprocessor interrupts are disabled (normal receive operation) [Clearing conditions] The MPIE bit is cleared to 0. MPB = 1 in received data. (Initial value)
1
Multiprocessor interrupts are enabled* Receive-data-full interrupts (RXI), receive-error interrupts (ERI), and setting of the RDRF, FER, and ORER status flags in SSR are disabled until data with the multiprocessor bit set to 1 is received.
Note: * The SCI does not transfer receive data from RSR to RDR, does not detect receive errors, and does not set the RDRF, FER, and ORER flags in SSR. When it receives data in which MPB = 1, the SCI sets the MPB bit to 1 in SSR, automatically clears the MPIE bit to 0, enables RXI and ERI interrupts (if the RIE bit is set to 1 in SCR), and allows the FER and ORER flags to be set.
449
Bit 2--Transmit-End Interrupt Enable (TEIE): Enables or disables the transmit-end interrupt (TEI) requested if TDR does not contain new transmit data when the MSB is transmitted.
Bit 2 TEIE 0 1 Description Transmit-end interrupt requests (TEI) are disabled* Transmit-end interrupt requests (TEI) are enabled* (Initial value)
Note: * TEI interrupt requests can be cleared by reading the value 1 from the TDRE flag in SSR, then clearing the TDRE flag to 0, thereby also clearing the TEND flag to 0; or by clearing the TEIE bit to 0.
Bits 1 and 0--Clock Enable 1 and 0 (CKE1/0): These bits select the SCI clock source and enable or disable clock output from the SCK pin. Depending on the settings of CKE1 and CKE0, the SCK pin can be used for generic input/output, serial clock output, or serial clock input. The CKE0 setting is valid only in asynchronous mode, and only when the SCI is internally clocked (CKE1 = 0). The CKE0 setting is ignored in synchronous mode, or when an external clock source is selected (CKE1 = 1). Select the SCI operating mode in SMR before setting the CKE1 and CKE0 bits. For further details on selection of the SCI clock source, see table 13-9 in section 13.3, Operation.
Bit 1 CKE1 0 Bit 0 CKE0 0 Description Asynchronous mode Synchronous mode 0 1 Asynchronous mode Synchronous mode 1 0 Asynchronous mode Synchronous mode 1 1 Asynchronous mode Synchronous mode Internal clock, SCK pin available for generic input/output *1 Internal clock, SCK pin used for serial clock output *1 Internal clock, SCK pin used for clock output *2 Internal clock, SCK pin used for serial clock output External clock, SCK pin used for clock input *3 External clock, SCK pin used for serial clock input External clock, SCK pin used for clock input *3 External clock, SCK pin used for serial clock input
Notes: 1. Initial value 2. The output clock frequency is the same as the bit rate. 3. The input clock frequency is 16 times the bit rate.
450
13.2.7 Serial Status Register (SSR) SSR is an 8-bit register containing multiprocessor bit values, and status flags that indicate SCI operating status.
Bit Initial value Read/Write 7 TDRE 1 R/(W)* 6 RDRF 0 R/(W)* 5 ORER 0 R/(W)* 4 FER 0 R/(W)* 3 PER 0 R/(W)* 2 TEND 1 R 1 MPB 0 R 0 MPBT 0 R/W Multiprocessor bit transfer Value of multiprocessor bit to be transmitted Multiprocessor bit Stores the received multiprocessor bit value Transmit end Status flag indicating end of transmission Parity error Status flag indicating detection of a receive parity error Framing error Status flag indicating detection of a receive framing error Overrun error Status flag indicating detection of a receive overrun error Receive data register full Status flag indicating that data has been received and stored in RDR Transmit data register empty Status flag indicating that transmit data has been transferred from TDR into TSR and new data can be written in TDR Note: * Only 0 can be written, to clear the flag.
451
The CPU can always read and write SSR, but cannot write 1 in the TDRE, RDRF, ORER, PER, and FER flags. These flags can be cleared to 0 only if they have first been read while set to 1. The TEND and MPB flags are read-only bits that cannot be written. SSR is initialized to H'84 by a reset and in standby mode. Bit 7--Transmit Data Register Empty (TDRE): Indicates that the SCI has loaded transmit data from TDR into TSR and the next serial transmit data can be written in TDR.
Bit 7 TDRE 0 Description TDR contains valid transmit data [Clearing conditions] Software reads TDRE while it is set to 1, then writes 0. The DMAC writes data in TDR. TDR does not contain valid transmit data [Setting conditions] The chip is reset or enters standby mode. The TE bit in SCR is cleared to 0. TDR contents are loaded into TSR, so new data can be written in TDR. (Initial value)
1
Bit 6--Receive Data Register Full (RDRF): Indicates that RDR contains new receive data.
Bit 6 RDRF 0 Description RDR does not contain new receive data [Clearing conditions] The chip is reset or enters standby mode. Software reads RDRF while it is set to 1, then writes 0. The DMAC reads data from RDR. RDR contains new receive data [Setting condition] When serial data is received normally and transferred from RSR to RDR. (Initial value)
1
Note: The RDR contents and RDRF flag are not affected by detection of receive errors or by clearing of the RE bit to 0 in SCR. They retain their previous values. If the RDRF flag is still set to 1 when reception of the next data ends, an overrun error occurs and receive data is lost.
452
Bit 5--Overrun Error (ORER): Indicates that data reception ended abnormally due to an overrun error.
Bit 5 ORER 0 Description Receiving is in progress or has ended normally [Clearing conditions] The chip is reset or enters standby mode. Software reads ORER while it is set to 1, then writes 0. A receive overrun error occurred*2 [Setting condition] Reception of the next serial data ends when RDRF = 1. (Initial value)*1
1
Notes: 1. Clearing the RE bit to 0 in SCR does not affect the ORER flag, which retains its previous value. 2. RDR continues to hold the receive data before the overrun error, so subsequent receive data is lost. Serial receiving cannot continue while the ORER flag is set to 1. In synchronous mode, serial transmitting is also disabled.
Bit 4--Framing Error (FER): Indicates that data reception ended abnormally due to a framing error in asynchronous mode.
Bit 4 FER 0 Description Receiving is in progress or has ended normally [Clearing conditions] The chip is reset or enters standby mode. Software reads FER while it is set to 1, then writes 0. A receive framing error occurred*2 [Setting condition] The stop bit at the end of receive data is checked and found to be 0. (Initial value)*1
1
Notes: 1. Clearing the RE bit to 0 in SCR does not affect the FER flag, which retains its previous value. 2. When the stop bit length is 2 bits, only the first bit is checked. The second stop bit is not checked. When a framing error occurs the SCI transfers the receive data into RDR but does not set the RDRF flag. Serial receiving cannot continue while the FER flag is set to 1. In synchronous mode, serial transmitting is also disabled.
453
Bit 3--Parity Error (PER): Indicates that data reception ended abnormally due to a parity error in asynchronous mode.
Bit 3 PER 0 Description Receiving is in progress or has ended normally*1 [Clearing conditions] The chip is reset or enters standby mode. Software reads PER while it is set to 1, then writes 0. (Initial value)
1
A receive parity error occurred*2 [Setting condition] The number of 1s in receive data, including the parity bit, does not match the even or odd parity setting of O/E in SMR.
Notes: 1. Clearing the RE bit to 0 in SCR does not affect the PER flag, which retains its previous value. 2. When a parity error occurs the SCI transfers the receive data into RDR but does not set the RDRF flag. Serial receiving cannot continue while the PER flag is set to 1. In synchronous mode, serial transmitting is also disabled.
Bit 2--Transmit End (TEND): Indicates that when the last bit of a serial character was transmitted TDR did not contain new transmit data, so transmission has ended. The TEND flag is a read-only bit and cannot be written.
Bit 2 TEND 0 Description Transmission is in progress [Clearing conditions] Software reads TDRE while it is set to 1, then writes 0 in the TDRE flag. The DMAC writes data in TDR. End of transmission [Setting conditions] The chip is reset or enters standby mode. The TE bit is cleared to 0 in SCR. TDRE is 1 when the last bit of a serial character is transmitted. (Initial value)
1
454
Bit 1--Multiprocessor Bit (MPB): Stores the value of the multiprocessor bit in receive data when a multiprocessor format is used in asynchronous mode. MPB is a read-only bit and cannot be written.
Bit 1 MPB 0 1 Description Multiprocessor bit value in receive data is 0* Multiprocessor bit value in receive data is 1 (Initial value)
Note: * If the RE bit is cleared to 0 when a multiprocessor format is selected, MPB retains its previous value.
Bit 0--Multiprocessor Bit Transfer (MPBT): Stores the value of the multiprocessor bit added to transmit data when a multiprocessor format is selected for transmitting in asynchronous mode. The MPBT setting is ignored in synchronous mode, when a multiprocessor format is not selected, or when the SCI is not transmitting.
Bit 0 MPBT 0 1 Description Multiprocessor bit value in transmit data is 0 Multiprocessor bit value in transmit data is 1 (Initial value)
13.2.8 Bit Rate Register (BRR) BRR is an 8-bit register that, together with the CKS1 and CKS0 bits in SMR that select the baud rate generator clock source, determines the serial communication bit rate.
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W 2 1 R/W 1 1 R/W 0 1 R/W
The CPU can always read and write BRR. BRR is initialized to H'FF by a reset and in standby mode. The two SCI channels have independent baud rate generator control, so different values can be set in the two channels. Table 13-3 shows examples of BRR settings in asynchronous mode. Table 13-4 shows examples of BRR settings in synchronous mode.
455
Table 13-3 Examples of Bit Rates and BRR Settings in Asynchronous Mode
o (MHz) 2 Bit Rate (bits/s) 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 n 1 1 0 0 0 0 0 0 0 0 0 N 141 103 207 103 51 25 12 6 2 1 1 Error (%) 0.03 0.16 0.16 0.16 0.16 0.16 0.16 -6.99 8.51 0 -18.62 n 1 1 0 0 0 0 0 0 0 0 0 2.097152 N 148 108 217 108 54 26 13 6 2 1 1 Error (%) -0.04 0.21 0.21 0.21 -0.70 1.14 -2.48 -2.48 13.78 4.86 -14.67 n 1 1 0 0 0 0 0 0 0 0 0 2.4576 N 174 127 255 127 63 31 15 7 3 1 1 Error (%) -0.26 0 0 0 0 0 0 0 0 22.88 0 n 1 1 1 0 0 0 0 0 0 0 -- N 212 155 77 155 77 38 19 9 4 2 -- 3 Error (%) 0.03 0.16 0.16 0.16 0.16 0.16 -2.34 -2.34 -2.34 0 --
o (MHz) 3.6864 Bit Rate (bits/s) 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 n 2 1 1 0 0 0 0 0 0 -- 0 N 64 191 95 191 95 47 23 11 5 -- 2 Error (%) 0.70 0 0 0 0 0 0 0 0 -- 0 n 2 1 1 0 0 0 0 0 0 0 0 N 70 207 103 207 103 51 25 12 6 3 2 4 Error (%) 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 -6.99 0 8.51 n 2 1 1 0 0 0 0 0 0 0 0 4.9152 N 86 255 127 255 127 63 31 15 7 4 3 Error (%) 0.31 0 0 0 0 0 0 0 0 -1.70 0 n 2 2 1 1 0 0 0 0 0 0 0 N 88 64 129 64 129 64 32 15 7 4 3 5 Error (%) -0.25 0.16 0.16 0.16 0.16 0.16 -1.36 1.73 1.73 0 1.73
456
Table 13-3 Examples of Bit Rates and BRR Settings in Asynchronous Mode (cont)
o (MHz) 6 Bit Rate (bits/s) 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 n 2 2 1 1 0 0 0 0 0 0 0 N 106 77 155 77 155 77 38 19 9 5 4 Error (%) -0.44 0.16 0.16 0.16 0.16 0.16 0.16 -2.34 -2.34 0 -2.34 n 2 2 1 1 0 0 0 0 0 0 0 6.144 N 108 79 159 79 159 79 39 19 9 5 4 Error (%) 0.08 0 0 0 0 0 0 0 0 2.40 0 n 2 2 1 1 0 0 0 0 0 0 0 7.3728 N 130 95 191 95 191 95 47 23 11 6 5 Error (%) -0.07 0 0 0 0 0 0 0 0 5.33 0 n 2 2 1 1 0 0 0 0 0 0 0 N 141 103 207 103 207 103 51 25 12 7 6 8 Error (%) 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0 -6.99
o (MHz) 9.8304 Bit Rate (bits/s) 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 n 2 2 1 1 0 0 0 0 0 0 0 N 174 127 255 127 255 127 63 31 15 9 7 Error (%) -0.26 0 0 0 0 0 0 0 0 -1.70 0 n 2 2 2 1 1 0 0 0 0 0 0 N 177 129 64 129 64 129 64 32 15 9 7 10 Error (%) -0.25 0.16 0.16 0.16 0.16 0.16 0.16 -1.36 1.73 0 1.73 n 2 2 2 1 1 0 0 0 0 0 0 N 212 155 77 155 77 155 77 38 19 11 9 12 Error (%) 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 -2.34 0 -2.34 n 2 2 2 1 1 0 0 0 0 0 0 12.288 N 217 159 79 159 79 159 79 39 19 11 9 Error (%) 0.08 0 0 0 0 0 0 0 0 2.40 0
457
Table 13-3 Examples of Bit Rates and BRR Settings in Asynchronous Mode (cont)
o (MHz) 13 Bit Rate (bits/s) 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 n 2 2 2 1 1 0 0 0 0 0 0 N 230 168 84 168 84 168 84 41 20 12 10 Error (%) -0.08 0.16 -0.43 0.16 -0.43 0.16 -0.43 0.76 0.76 0.00 -3.82 n 2 2 2 1 1 0 0 0 0 0 0 N 248 181 90 181 90 181 90 45 22 13 10 14 Error (%) -0.17 0.16 0.16 0.16 0.16 0.16 0.16 -0.93 -0.93 0 3.57 n 3 2 2 1 1 0 0 0 0 0 0 14.7456 N 64 191 95 191 95 191 95 47 23 14 11 Error (%) 0.70 0 0 0 0 0 0 0 0 -1.70 0 n 3 2 2 1 1 0 0 0 0 0 0 N 70 207 103 207 103 207 103 51 25 15 12 16 Error (%) 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0 0.16
Table 13-3 Examples of Bit Rates and BRR Settings in Asynchronous Mode (cont)
o (MHz) 18 Bit Rate (bits/s) 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 n 3 2 2 1 1 0 0 0 0 0 0 N 79 233 116 233 116 233 116 58 28 17 14 Error (%) -0.12 0.16 0.16 0.16 0.16 0.16 0.16 -0.69 1.02 0.00 -2.34
458
Table 13-4 Examples of Bit Rates and BRR Settings in Synchronous Mode
o (MHz) Bit Rate (bits/s) 110 250 500 1k 2.5 k 5k 10 k 25 k 50 k 100 k 250 k 500 k 1M 2M 2.5 M 4M Note: Settings with an error of 1% or less are recommended. Legend Blank: No setting available --: Setting possible, but error occurs *: Continuous transmit/receive not possible The BRR setting is calculated as follows: Asynchronous mode: N= o 64 x 22n-1 x B o 8x 22n-1 xB x 106 - 1 2 n 3 2 1 1 0 0 0 0 0 0 0 0 N 70 124 249 124 199 99 49 19 9 4 1 0* n -- 2 2 1 1 0 0 0 0 0 0 0 0 4 N -- 249 124 249 99 199 99 39 19 9 3 1 0* n -- 3 2 2 1 1 0 0 0 0 0 0 0 0 -- 8 N -- 124 249 124 199 99 199 79 39 19 7 3 1 0* -- n -- -- -- -- 1 1 0 0 0 0 0 0 -- -- 0 10 N -- -- -- -- 249 124 249 99 49 24 9 4 -- -- 0* n -- 3 3 2 2 1 1 0 0 -- 0 -- -- -- -- 13 N -- 202 101 202 80 162 80 129 64 -- 12 -- -- -- -- n -- 3 3 2 2 1 1 0 0 0 0 0 0 0 -- 0 16 N -- 249 124 249 99 199 99 159 79 39 15 7 3 1 -- 0* n -- -- 3 3 2 1 1 0 0 0 0 0 0 -- -- -- 18 N -- -- 140 69 112 224 112 179 89 44 17 8 4 -- -- --
Synchronous mode: N= B: N: o: n: x 106 - 1
Bit rate (bits/s) BRR setting for baud rate generator (0 N 255) System clock frequency (MHz) Baud rate generator clock source (n = 0, 1, 2, 3) (For the clock sources and values of n, see the following table.) 459
SMR Settings n 0 1 2 3 Clock Source o o/4 o/16 o/64 CKS1 0 0 1 1 CKS0 0 1 0 1
The bit rate error in asynchronous mode is calculated as follows. o x 106 Error (%) = (N + 1) x B x 64 x 22n-1 -1 x 100
460
Table 13-5 indicates the maximum bit rates in asynchronous mode for various system clock frequencies. Tables 13-6 and 13-7 indicate the maximum bit rates with external clock input. Table 13-5 Maximum Bit Rates for Various Frequencies (Asynchronous Mode)
Settings o (MHz) 2 2.097152 2.4576 3 3.6864 4 4.9152 5 6 6.144 7.3728 8 9.8304 10 12 12.288 14 14.7456 16 17.2032 18 Maximum Bit Rate (bits/s) 62500 65536 76800 93750 115200 125000 153600 156250 187500 192000 230400 250000 307200 312500 375000 384000 437500 460800 500000 537600 562500 n 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 N 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
461
Table 13-6 Maximum Bit Rates with External Clock Input (Asynchronous Mode)
o (MHz) 2 2.097152 2.4576 3 3.6864 4 4.9152 5 6 6.144 7.3728 8 9.8304 10 12 12.288 14 14.7456 16 17.2032 18 External Input Clock (MHz) 0.5000 0.5243 0.6144 0.7500 0.9216 1.0000 1.2288 1.2500 1.5000 1.5360 1.8432 2.0000 2.4576 2.5000 3.0000 3.0720 3.5000 3.6864 4.0000 4.3008 4.5000 Maximum Bit Rate (bits/s) 31250 32768 38400 46875 57600 62500 76800 78125 93750 96000 115200 125000 153600 156250 187500 192000 218750 230400 250000 268800 281250
462
Table 13-7 Maximum Bit Rates with External Clock Input (Synchronous Mode)
o (MHz) 2 4 6 8 10 12 14 16 18 External Input Clock (MHz) 0.3333 0.6667 1.0000 1.3333 1.6667 2.0000 2.3333 2.6667 3.0000 Maximum Bit Rate (bits/s) 333333.3 666666.7 1000000.0 1333333.3 1666666.7 2000000.0 2333333.3 2666666.7 3000000.0
463
13.3 Operation
13.3.1 Overview The SCI has an asynchronous mode in which characters are synchronized individually, and a synchronous mode in which communication is synchronized with clock pulses. Serial communication is possible in either mode. Asynchronous or synchronous mode and the communication format are selected in SMR, as shown in table 13-8. The SCI clock source is selected by the C/A bit in SMR and the CKE1 and CKE0 bits in SCR, as shown in table 13-9. Asynchronous Mode * * Data length is selectable: 7 or 8 bits. Parity and multiprocessor bits are selectable. So is the stop bit length (1 or 2 bits). These selections determine the communication format and character length. In receiving, it is possible to detect framing errors, parity errors, overrun errors, and the break state. An internal or external clock can be selected as the SCI clock source. -- When an internal clock is selected, the SCI operates using the on-chip baud rate generator, and can output a serial clock signal with a frequency matching the bit rate. -- When an external clock is selected, the external clock input must have a frequency 16 times the bit rate. (The on-chip baud rate generator is not used.) Synchronous Mode * * * The communication format has a fixed 8-bit data length. In receiving, it is possible to detect overrun errors. An internal or external clock can be selected as the SCI clock source. -- When an internal clock is selected, the SCI operates using the on-chip baud rate generator, and outputs a serial clock signal to external devices. -- When an external clock is selected, the SCI operates on the input serial clock. The on-chip baud rate generator is not used.
*
*
464
Table 13-8 SMR Settings and Serial Communication Formats
SCI Communication Format SMR Settings Bit 7 Bit 6 Bit 2 Bit 5 Bit 3 C/A CHR MP PE STOP Mode 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 1 1 0 0 1 1 -- 0 0 0 0 0 0 0 0 1 1 1 1 -- 0 0 1 1 0 0 1 1 -- -- -- -- -- 0 1 0 1 0 1 0 1 0 1 0 1 -- Synchronous mode 8-bit data Absent Asynchronous mode (multiprocessor format) 8-bit data Present Absent Present 7-bit data Absent Asynchronous mode Data Length 8-bit data Multiprocessor Bit Absent Parity Bit Absent Stop Bit Length 1 bit 2 bits Present 1 bit 2 bits 1 bit 2 bits 1 bit 2 bits 1 bit 2 bits 7-bit data 1 bit 2 bits None
Table 13-9 SMR and SCR Settings and SCI Clock Source Selection
SMR SCR Settings SCI Transmit/Receive Clock Mode Asynchronous mode Clock Source Internal SCK Pin Function SCI does not use the SCK pin Outputs a clock with frequency matching the bit rate External Inputs a clock with frequency 16 times the bit rate Outputs the serial clock
Bit 7 Bit 1 Bit 0 C/A CKE1 CKE0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1
Synchronous mode
Internal
External
Inputs the serial clock
465
13.3.2 Operation in Asynchronous Mode In asynchronous mode each transmitted or received character begins with a start bit and ends with a stop bit. Serial communication is synchronized one character at a time. The transmitting and receiving sections of the SCI are independent, so full duplex communication is possible. The transmitter and receiver are both double buffered, so data can be written and read while transmitting and receiving are in progress, enabling continuous transmitting and receiving. Figure 13-2 shows the general format of asynchronous serial communication. In asynchronous serial communication the communication line is normally held in the mark (high) state. The SCI monitors the line and starts serial communication when the line goes to the space (low) state, indicating a start bit. One serial character consists of a start bit (low), data (LSB first), parity bit (high or low), and stop bit (high), in that order. When receiving in asynchronous mode, the SCI synchronizes at the falling edge of the start bit. The SCI samples each data bit on the eighth pulse of a clock with a frequency 16 times the bit rate. Receive data is latched at the center of each bit.
1 Serial data 0 Start bit 1 bit
(LSB) D0 D1 D2 D3 D4 D5 D6
(MSB) D7 0/1 Parity bit 1
Idle (mark) state 1 1
Transmit or receive data 7 bits or 8 bits One unit of data (character or frame)
Stop bit
1 bit or 1 bit or no bit 2 bits
Figure 13-2 Data Format in Asynchronous Communication (Example: 8-Bit Data with Parity and 2 Stop Bits)
466
Communication Formats: Table 13-10 shows the 12 communication formats that can be selected in asynchronous mode. The format is selected by settings in SMR. Table 13-10 Serial Communication Formats (Asynchronous Mode)
SMR Settings CHR 0 0 0 0 1 1 1 1 0 0 1 1 PE 0 0 1 1 0 0 1 1 -- -- -- -- MP 0 0 0 0 0 0 0 0 1 1 1 1 STOP 0 1 0 1 0 1 0 1 0 1 0 1 1 S S S S S S S S S Serial Communication Format and Frame Length 2 3 4 5 6 7 8 9 10 STOP STOP STOP P P STOP STOP STOP P P STOP STOP STOP MPB STOP STOP STOP STOP 11 12
8-bit data 8-bit data 8-bit data 8-bit data 7-bit data 7-bit data 7-bit data 7-bit data 8 bit data
S S S
8 bit data 7-bit data 7-bit data
MPB STOP STOP MPB STOP MPB STOP STOP
Legend S: Start bit STOP: Stop bit P: Parity bit MPB: Multiprocessor bit
467
Clock: An internal clock generated by the on-chip baud rate generator or an external clock input from the SCK pin can be selected as the SCI transmit/receive clock. The clock source is selected by the C/A bit in SMR and bits CKE1 and CKE0 in SCR. See table 13-9. When an external clock is input at the SCK pin, it must have a frequency equal to 16 times the desired bit rate. When the SCI operates on an internal clock, it can output a clock signal at the SCK pin. The frequency of this output clock is equal to the bit rate. The phase is aligned as in figure 13-3 so that the rising edge of the clock occurs at the center of each transmit data bit.
0
D0
D1
D2
D3
D4
D5
D6
D7
0/1
1
1
1 frame
Figure 13-3 Phase Relationship between Output Clock and Serial Data (Asynchronous Mode) Transmitting and Receiving Data SCI Initialization (Asynchronous Mode): Before transmitting or receiving, clear the TE and RE bits to 0 in SCR, then initialize the SCI as follows. When changing the communication mode or format, always clear the TE and RE bits to 0 before following the procedure given below. Clearing TE to 0 sets the TDRE flag to 1 and initializes TSR. Clearing RE to 0, however, does not initialize the RDRF, PER, FER, and ORER flags and RDR, which retain their previous contents. When an external clock is used, the clock should not be stopped during initialization or subsequent operation. SCI operation becomes unreliable if the clock is stopped. Figure 13-4 is a sample flowchart for initializing the SCI.
468
Start of initialization
Clear TE and RE bits to 0 in SCR
Set CKE1 and CKE0 bits in SCR (leaving TE and RE bits cleared to 0)
1
1. Select the clock source in SCR. Clear the RIE, TIE, TEIE, MPIE, TE, and RE bits to 0. If clock output is selected in asynchronous mode, clock output starts immediately after the setting is made in SCR. 2. Select the communication format in SMR. 3. Write the value corresponding to the bit rate in BRR. This step is not necessary when an external clock is used. 4. Wait for at least the interval required to transmit or receive 1 bit, then set the TE or RE bit to 1 in SCR. Set the RIE, TIE, TEIE, and MPIE bits as necessary. Setting the TE or RE bit enables the SCI to use the TxD or RxD pin.
Select communication format in SMR
2
Set value in BRR Wait
3
1 bit interval elapsed? Yes Set TE or RE bit to 1 in SCR Set RIE, TIE, TEIE, and MPIE bits as necessary
No
4
Transmitting or receiving
Figure 13-4 Sample Flowchart for SCI Initialization
469
Transmitting Serial Data (Asynchronous Mode): Figure 13-5 shows a sample flowchart for transmitting serial data and indicates the procedure to follow.
1 1. SCI initialization: the transmit data output function of the TxD pin is selected automatically. 2. SCI status check and transmit data write: read SSR, check that the TDRE flag is 1, then write transmit data in TDR and clear the TDRE flag to 0. 3. To continue transmitting serial data: after checking that the TDRE flag is 1, indicating that data can be written, write data in TDR, then clear the TDRE flag to 0. When the DMAC is activated by a transmit-data-empty interrupt request (TXI) to write data in TDR, the TDRE flag is checked and cleared automatically. 4. To output a break signal at the end of serial transmission: set the DDR bit to 1 and clear the DR bit to 0 (DDR and DR are I/O port registers), then clear the TE bit to 0 in SCR.
Initialize Start transmitting
Read TDRE flag in SSR
2
No TDRE = 1? Yes Write transmit data in TDR and clear TDRE flag to 0 in SSR
All data transmitted? Yes
No
3
Read TEND flag in SSR No
TEND = 1? Yes Output break signal? Yes Clear DR bit to 0, set DDR bit to 1 Clear TE bit to 0 in SCR
No
4
End
Figure 13-5 Sample Flowchart for Transmitting Serial Data
470
In transmitting serial data, the SCI operates as follows. * The SCI monitors the TDRE flag in SSR. When the TDRE flag is cleared to 0 the SCI recognizes that TDR contains new data, and loads this data from TDR into TSR. After loading the data from TDR into TSR, the SCI sets the TDRE flag to 1 and starts transmitting. If the TIE bit is set to 1 in SCR, the SCI requests a transmit-data-empty interrupt (TXI) at this time. Serial transmit data is transmitted in the following order from the TxD pin: -- Start bit: One 0 bit is output. -- Transmit data: 7 or 8 bits are output, LSB first. -- Parity bit or multiprocessor bit: One parity bit (even or odd parity) or one multiprocessor bit is output. Formats in which neither a parity bit nor a multiprocessor bit is output can also be selected. -- Stop bit: One or two 1 bits (stop bits) are output. -- Mark state: Output of 1 bits continues until the start bit of the next transmit data. * The SCI checks the TDRE flag when it outputs the stop bit. If the TDRE flag is 0, the SCI loads new data from TDR into TSR, outputs the stop bit, then begins serial transmission of the next frame. If the TDRE flag is 1, the SCI sets the TEND flag to 1 in SSR, outputs the stop bit, then continues output of 1 bits in the mark state. If the TEIE bit is set to 1 in SCR, a transmit-end interrupt (TEI) is requested at this time.
*
Figure 13-6 shows an example of SCI transmit operation in asynchronous mode.
1
Start bit 0 D0 D1
Data D7
Parity Stop Start bit bit bit 0/1 1 0 D0 D1
Data D7
Parity Stop bit bit 0/1 1
1 Idle (mark) state
TDRE TEND
TXI interrupt request
TXI interrupt handler writes data in TDR and clears TDRE flag to 0 1 frame
TXI interrupt request
TEI interrupt request
Figure 13-6 Example of SCI Transmit Operation in Asynchronous Mode (8-Bit Data with Parity and 1 Stop Bit)
471
Receiving Serial Data (Asynchronous Mode): Figure 13-7 shows a sample flowchart for receiving serial data and indicates the procedure to follow.
Initialize
1
Start receiving
Read ORER, PER, and FER flags in SSR
2
PER FER ORER = 1? No
Yes 3 Error handling (continued on next page)
Read RDRF flag in SSR
4
No RDRF = 1? Yes Read receive data from RDR, and clear RDRF flag to 0 in SSR
1. SCI initialization: the receive data function of the RxD pin is selected automatically. 2, 3. Receive error handling and break detection: if a receive error occurs, read the ORER, PER, and FER flags in SSR to identify the error. After executing the necessary error handling, clear the ORER, PER, and FER flags all to 0. Receiving cannot resume if any of the ORER, PER, and FER flags remains set to 1. When a framing error occurs, the RxD pin can be read to detect the break state. 4. SCI status check and receive data read: read SSR, check that RDRF is set to 1, then read receive data from RDR and clear the RDRF flag to 0. Notification that the RDRF flag has changed from 0 to 1 can also be given by the RXI interrupt. 5. To continue receiving serial data: check the RDRF flag, read RDR, and clear the RDRF flag to 0 before the stop bit of the current frame is received. If the DMAC is activated by an RXI interrupt to read the RDR value, the RDRF flag is cleared automatically.
No
Finished receiving? Yes Clear RE bit to 0 in SCR End
5
Figure 13-7 Sample Flowchart for Receiving Serial Data (1)
472
3 Error handling
No
ORER = 1? Yes Overrun error handling
No
FER = 1? Yes Break? No Framing error handling Clear RE bit to 0 in SCR Yes
No
PER = 1? Yes Parity error handling
Clear ORER, PER, and FER flags to 0 in SSR
End
Figure 13-7 Sample Flowchart for Receiving Serial Data (2)
473
In receiving, the SCI operates as follows. * The SCI monitors the receive data line. When it detects a start bit, the SCI synchronizes internally and starts receiving. Receive data is stored in RSR in order from LSB to MSB. The parity bit and stop bit are received.
* *
After receiving, the SCI makes the following checks: -- Parity check: The number of 1s in the receive data must match the even or odd parity setting of the O/E bit in SMR. -- Stop bit check: The stop bit value must be 1. If there are two stop bits, only the first stop bit is checked. -- Status check: The RDRF flag must be 0 so that receive data can be transferred from RSR into RDR.
If these checks all pass, the RDRF flag is set to 1 and the received data is stored in RDR. If one of the checks fails (receive error), the SCI operates as indicated in table 13-11. Note: When a receive error occurs, further receiving is disabled. In receiving, the RDRF flag is not set to 1. Be sure to clear the error flags to 0. * When the RDRF flag is set to 1, if the RIE bit is set to 1 in SCR, a receive-data-full interrupt (RXI) is requested. If the ORER, PER, or FER flag is set to 1 and the RIE bit in SCR is also set to 1, a receive-error interrupt (ERI) is requested.
Table 13-11 Receive Error Conditions
Receive Error Overrun error Abbreviation ORER Condition Receiving of next data ends while RDRF flag is still set to 1 in SSR Stop bit is 0 Parity of receive data differs from even/odd parity setting in SMR Data Transfer Receive data not transferred from RSR to RDR Receive data transferred from RSR to RDR Receive data transferred from RSR to RDR
Framing error Parity error
FER PER
474
Figure 13-8 shows an example of SCI receive operation in asynchronous mode.
1
Start bit
0
Data D0 D1 D7
Parity Stop Start bit bit bit 0/1
1 0
Data D0 D1 D7
Parity Stop bit bit 0/1
1
1
Idle (mark) state
RDRF
FER RXI request 1 frame RXI interrupt handler reads data in RDR and clears RDRF flag to 0
Framing error, ERI request
Figure 13-8 Example of SCI Receive Operation (8-Bit Data with Parity and One Stop Bit) 13.3.3 Multiprocessor Communication The multiprocessor communication function enables several processors to share a single serial communication line. The processors communicate in asynchronous mode using a format with an additional multiprocessor bit (multiprocessor format). In multiprocessor communication, each receiving processor is addressed by an ID. A serial communication cycle consists of an ID-sending cycle that identifies the receiving processor, and a data-sending cycle. The multiprocessor bit distinguishes ID-sending cycles from data-sending cycles. The transmitting processor starts by sending the ID of the receiving processor with which it wants to communicate as data with the multiprocessor bit set to 1. Next the transmitting processor sends transmit data with the multiprocessor bit cleared to 0. Receiving processors skip incoming data until they receive data with the multiprocessor bit set to 1. When they receive data with the multiprocessor bit set to 1, receiving processors compare the data with their IDs. The receiving processor with a matching ID continues to receive further incoming data. Processors with IDs not matching the received data skip further incoming data until they again receive data with the multiprocessor bit set to 1. Multiple processors can send and receive data in this way. Figure 13-9 shows an example of communication among different processors using a multiprocessor format.
475
Communication Formats: Four formats are available. Parity-bit settings are ignored when a multiprocessor format is selected. For details see table 13-10. Clock: See the description of asynchronous mode.
Transmitting processor Serial communication line
Receiving processor A (ID = 01)
Receiving processor B (ID = 02)
Receiving processor C (ID = 03)
Receiving processor D (ID = 04)
Serial data
H'01 (MPB = 1) ID-sending cycle: receiving processor address
H'AA (MPB = 0) Data-sending cycle: data sent to receiving processor specified by ID
Legend MPB: Multiprocessor bit
Figure 13-9 Example of Communication among Processors using Multiprocessor Format (Sending Data H'AA to Receiving Processor A)
476
Transmitting and Receiving Data Transmitting Multiprocessor Serial Data: Figure 13-10 shows a sample flowchart for transmitting multiprocessor serial data and indicates the procedure to follow.
Initialize Start transmitting
1
Read TDRE flag in SSR TDRE = 1? Yes Write transmit data in TDR and set MPBT bit in SSR Clear TDRE flag to 0 No No
2
All data transmitted? Yes Read TEND flag in SSR
3
1. SCI initialization: the transmit data output function of the TxD pin is selected automatically. 2. SCI status check and transmit data write: read SSR, check that the TDRE flag is 1, then write transmit data in TDR. Also set the MPBT flag to 0 or 1 in SSR. Finally, clear the TDRE flag to 0. 3. To continue transmitting serial data: after checking that the TDRE flag is 1, indicating that data can be written, write data in TDR, then clear the TDRE flag to 0. When the DMAC is activated by a transmit-data-empty interrupt request (TXI) to write data in TDR, the TDRE flag is checked and cleared automatically. 4. To output a break signal at the end of serial transmission: set the DDR bit to 1 and clear the DR bit to 0 (DDR and DR are I/O port registers), then clear the TE bit to 0 in SCR.
TEND = 1? Yes Output break signal? Yes Clear DR bit to 0, set DDR bit to 1 Clear TE bit to 0 in SCR
No
No
4
End
Figure 13-10 Sample Flowchart for Transmitting Multiprocessor Serial Data
477
In transmitting serial data, the SCI operates as follows. * The SCI monitors the TDRE flag in SSR. When the TDRE flag is cleared to 0 the SCI recognizes that TDR contains new data, and loads this data from TDR into TSR. After loading the data from TDR into TSR, the SCI sets the TDRE flag to 1 and starts transmitting. If the TIE bit in SCR is set to 1, the SCI requests a transmit-data-empty interrupt (TXI) at this time.
*
Serial transmit data is transmitted in the following order from the TxD pin: -- -- -- -- -- * Start bit: Transmit data: Multiprocessor bit: Stop bit: Mark state: One 0 bit is output. 7 or 8 bits are output, LSB first. One multiprocessor bit (MPBT value) is output. One or two 1 bits (stop bits) are output. Output of 1 bits continues until the start bit of the next transmit data.
The SCI checks the TDRE flag when it outputs the stop bit. If the TDRE flag is 0, the SCI loads data from TDR into TSR, outputs the stop bit, then begins serial transmission of the next frame. If the TDRE flag is 1, the SCI sets the TEND flag in SSR to 1, outputs the stop bit, then continues output of 1 bits in the mark state. If the TEIE bit is set to 1 in SCR, a transmit-end interrupt (TEI) is requested at this time.
Figure 13-11 shows an example of SCI transmit operation using a multiprocessor format.
Multiprocessor bit 1 Start bit 0 D0 D1 Data D7 0/1 Stop Start bit bit 1 0 D0 D1 Data D7 0/1 Multiprocessor bit Stop bit 1 1 Idle (mark) state
TDRE TEND
TXI request
TXI interrupt handler writes data in TDR and clears TDRE flag to 0 1 frame
TXI request
TEI request
Figure 13-11 Example of SCI Transmit Operation (8-Bit Data with Multiprocessor Bit and One Stop Bit)
478
Receiving Multiprocessor Serial Data: Figure 13-12 shows a sample flowchart for receiving multiprocessor serial data and indicates the procedure to follow.
Initialize Start receiving 1 1. SCI initialization: the receive data function of the RxD pin is selected automatically. 2. ID receive cycle: set the MPIE bit to 1 in SCR. 3. SCI status check and ID check: read SSR, check that the RDRF flag is set to 1, then read data from RDR and compare with the processor's own ID. If the ID does not match, set the MPIE bit to 1 again and clear the RDRF flag to 0. If the ID matches, clear the RDRF flag to 0. 4. SCI status check and data receiving: read SSR, check that the RDRF flag is set to 1, then read data from RDR. 5. Receive error handling and break detection: if a receive error occurs, read the ORER and FER flags in SSR to identify the error. After executing the necessary error handling, clear the ORER and FER flags both to 0. Receiving cannot resume while either the ORER or FER flag remains set to 1. When a framing error occurs, the RxD pin can be read to detect the break state.
Set MPIE bit to 1 in SCR
2
Read ORER and FER flags in SSR Yes
FER ORER = 1 No Read RDRF flag in SSR No RDRF = 1? Yes Read receive data from RDR No Own ID? Yes Read ORER and FER flags in SSR
3
FER ORER = 1 No Read RDRF flag in SSR
Yes
4 No
RDRF = 1? Yes Read receive data from RDR No No Finished receiving? Yes Clear RE bit to 0 in SCR End 5 Error handling (continued on next page)
Figure 13-12 Sample Flowchart for Receiving Multiprocessor Serial Data (1)
479
5 Error handling
No
ORER = 1? Yes Overrun error handling
No
FER = 1? Yes Break? No Framing error handling Clear RE bit to 0 in SCR Yes
Clear ORER, PER, and FER flags to 0 in SSR
End
Figure 13-12 Sample Flowchart for Receiving Multiprocessor Serial Data (2)
480
Figure 13-13 shows an example of SCI receive operation using a multiprocessor format.
1
Start bit
0
Data (ID1)
MPB D7
1
Stop Start Data (data1) bit bit
1 0
MPB D7
0
Stop bit
1
1
D0
D1
D0
D1
Idle (mark) state
MPIE
RDRF
RDR value MPB detection MPIE= 0 RXI request (multiprocessor interrupt) RXI handler reads RDR data and clears RDRF flag to 0
ID1 Not own ID, so MPIE bit is set to 1 again No RXI request, RDR not updated
a. Own ID does not match data
1
Start bit
0
Data (ID2)
MPB D7
1
Stop Start Data (data2) bit bit
1 0
MPB D7
0
Stop bit
1
1
D0
D1
D0
D1
Idle (mark) state
MPIE
RDRF
RDR value MPB detection MPIE= 0 RXI request (multiprocessor interrupt)
ID2
Data 2
RXI interrupt handler Own ID, so receiving MPIE bit is set reads RDR data and continues, with data to 1 again clears RDRF flag to 0 received by RXI interrupt handler
b. Own ID matches data
Figure 13-13 Example of SCI Receive Operation (8-Bit Data with Multiprocessor Bit and One Stop Bit)
481
13.3.4 Synchronous Operation In synchronous mode, the SCI transmits and receives data in synchronization with clock pulses. This mode is suitable for high-speed serial communication. The SCI transmitter and receiver share the same clock but are otherwise independent, so full duplex communication is possible. The transmitter and receiver are also double buffered, so continuous transmitting or receiving is possible by reading or writing data while transmitting or receiving is in progress. Figure 13-14 shows the general format in synchronous serial communication.
Transfer direction One unit (character or frame) of serial data * Serial clock LSB Serial data Don't care Note: * High except in continuous transmitting or receiving Bit 0 Bit 1 Bit 2 Bit 3 Bit 4 Bit 5 Bit 6 MSB Bit 7 Don't care *
Figure 13-14 Data Format in Synchronous Communication In synchronous serial communication, each data bit is placed on the communication line from one falling edge of the serial clock to the next. Data is guaranteed valid at the rise of the serial clock. In each character, the serial data bits are transmitted in order from LSB (first) to MSB (last). After output of the MSB, the communication line remains in the state of the MSB. In synchronous mode the SCI receives data by synchronizing with the rise of the serial clock. Communication Format: The data length is fixed at 8 bits. No parity bit or multiprocessor bit can be added. Clock: An internal clock generated by the on-chip baud rate generator or an external clock input from the SCK pin can be selected by clearing or setting the CKE1 bit in SCR. See table 13-9. When the SCI operates on an internal clock, it outputs the clock signal at the SCK pin. Eight clock pulses are output per transmitted or received character. When the SCI operates on an internal clock, the serial clock outputs the clock signal at the SCK pin. Eight clock pulses are output per transmitted or received character. When the SCI is not transmitting or receiving, the clock signal remains in the high state. However, when receiving only, overrun error may occur or the serial clock continues output until the RE bit clears at 0. When transmitting or receiving in single characters, select the external clock.
482
Transmitting and Receiving Data SCI Initialization (Synchronous Mode): Before transmitting or receiving, clear the TE and RE bits to 0 in SCR, then initialize the SCI as follows. When changing the communication mode or format, always clear the TE and RE bits to 0 before following the procedure given below. Clearing the TE bit to 0 sets the TDRE flag to 1 and initializes TSR. Clearing the RE bit to 0, however, does not initialize the RDRF, PER, FER, and ORE flags and RDR, which retain their previous contents. Figure 13-15 is a sample flowchart for initializing the SCI.
Start of initialization
Clear TE and RE bits to 0 in SCR
Set RIE, TIE, TEIE, MPIE, CKE1, and CKE0 bits in SCR (leaving TE and RE bits cleared to 0)
1
1. Select the clock source in SCR. Clear the RIE, TIE, TEIE, MPIE, TE, and RE bits to 0. 2. Select the communication format in SMR. 3. Write the value corresponding to the bit rate in BRR. This step is not necessary when an external clock is used. 4. Wait for at least the interval required to transmit or receive one bit, then set the TE or RE bit to 1 in SCR. Also set the RIE, TIE, TEIE, and MPIE bits as necessary. Setting the TE or RE bit enables the SCI to use the TxD or RxD pin.
2 Select communication format in SMR 3 Set value in BRR Wait 1 bit interval elapsed? Yes Set TE or RE to 1 in SCR Set RIE, TIE, TEIE, and MPIE bits as necessary No
4
Start transmitting or receiving
Figure 13-15 Sample Flowchart for SCI Initialization
483
Transmitting Serial Data (Synchronous Mode): Figure 13-16 shows a sample flowchart for transmitting serial data and indicates the procedure to follow.
Initialize 1 1. SCI initialization: the transmit data output function of the TxD pin is selected automatically. After setting TE bit to 1, output 1 from frame one transmission is possible. 2. SCI status check and transmit data write: read SSR, check that the TDRE flag is 1, then write transmit data in TDR and clear the TDRE flag to 0. 3. To continue transmitting serial data: after checking that the TDRE flag is 1, indicating that data can be written, write data in TDR, then clear the TDRE flag to 0. When the DMAC is activated by a transmitdata-empty interrupt request (TXI) to write data in TDR, the TDRE flag is checked and cleared automatically.
Start transmitting
Read TDRE flag in SSR
2
No TDRE = 1? Yes Write transmit data in TDR and clear TDRE flag to 0 in SSR
All data transmitted? Yes
No
3
Read TEND flag in SSR No
TEND = 1? Yes Clear TE bit to 0 in SCR
End
Figure 13-16 Sample Flowchart for Serial Transmitting
484
In transmitting serial data, the SCI operates as follows. * The SCI monitors the TDRE flag in SSR. When the TDRE flag is cleared to 0 the SCI recognizes that TDR contains new data, and loads this data from TDR into TSR. After loading the data from TDR into TSR, the SCI sets the TDRE flag to 1 and starts transmitting. If the TIE bit is set to 1 in SCR, the SCI requests a transmit-data-empty interrupt (TXI) at this time. If clock output is selected, the SCI outputs eight serial clock pulses. If an external clock source is selected, the SCI outputs data in synchronization with the input clock. Data is output from the TxD pin in order from LSB (bit 0) to MSB (bit 7). * The SCI checks the TDRE flag when it outputs the MSB (bit 7). If the TDRE flag is 0, the SCI loads data from TDR into TSR and begins serial transmission of the next frame. If the TDRE flag is 1, the SCI sets the TEND flag to 1 in SSR, and after transmitting the MSB, holds the TxD pin in the MSB state. If the TEIE bit in SCR is set to 1, a transmit-end interrupt (TEI) is requested at this time. After the end of serial transmission, the SCK pin is held in a constant state.
*
*
485
Figure 13-17 shows an example of SCI transmit operation.
Transmit direction
Serial clock
Serial data TDRE TEND TXI request
Bit 0
Bit 1
Bit 7
Bit 0
Bit 1
Bit 6
Bit 7
TXI interrupt handler writes data in TDR and clears TDRE flag to 0 1 frame
TXI request
TEI request
Figure 13-17 Example of SCI Transmit Operation
486
Receiving Serial Data: Figure 13-18 shows a sample flowchart for receiving serial data and indicates the procedure to follow. When switching from asynchronous mode to synchronous mode, make sure that the ORER, PER, and FER flags are cleared to 0. If the FER or PER flag is set to 1 the RDRF flag will not be set and both transmitting and receiving will be disabled.
SCI initialization: the receive data function of the RxD pin is selected automatically. 2, 3. Receive error handling: if a receive error Start receiving occurs, read the ORER flag in SSR, then after executing the necessary error handling, clear the ORER flag to 0. Neither transmitting nor receiving can resume while the ORER flag Read ORER flag in SSR 2 remains set to 1. 4. SCI status check and receive data read: read SSR, check that the RDRF flag is set to 1, Yes ORER = 1? then read receive data from RDR and clear 3 the RDRF flag to 0. Notification that the RDRF Error handling No flag has changed from 0 to 1 can also be given by the RXI interrupt. continued on next page 5. To continue receiving serial data: check the Read RDRF flag in SSR 4 RDRF flag, read RDR, and clear the RDRF flag to 0 before the MSB (bit 7) of the current frame is received. If the DMAC is activated No by a receive-data-full interrupt request (RXI) RDRF = 1? to read RDR, the RDRF flag is cleared automatically. Yes Read receive data from RDR, and clear RDRF flag to 0 in SSR 5
Initialize
1
1.
No
Finished receiving? Yes Clear RE bit to 0 in SCR
End
Figure 13-18 Sample Flowchart for Serial Receiving (1)
487
3 Error handling
Overrun error handling
Clear ORER flag to 0 in SSR
End
Figure 13-18 Sample Flowchart for Serial Receiving (2) In receiving, the SCI operates as follows. * * The SCI synchronizes with serial clock input or output and initializes internally. Receive data is stored in RSR in order from LSB to MSB. After receiving the data, the SCI checks that the RDRF flag is 0 so that receive data can be transferred from RSR to RDR. If this check passes, the RDRF flag is set to 1 and the received data is stored in RDR. If the check does not pass (receive error), the SCI operates as indicated in table 13-11. * After setting the RDRF flag to 1, if the RIE bit is set to 1 in SCR, the SCI requests a receivedata-full interrupt (RXI). If the ORER flag is set to 1 and the RIE bit in SCR is also set to 1, the SCI requests a receive-error interrupt (ERI).
488
Figure 13-19 shows an example of SCI receive operation.
Receive direction Serial clock
Serial data RDRF
Bit 7
Bit 0
Bit 7
Bit 0
Bit 1
Bit 6
Bit 7
ORER RXI request RXI interrupt handler reads data in RDR and clears RDRF flag to 0 1 frame RXI request Overrun error, ERI request
Figure 13-19 Example of SCI Receive Operation Transmitting and Receiving Serial Data Simultaneously (Synchronous Mode): Figure 13-20 shows a sample flowchart for transmitting and receiving serial data simultaneously and indicates the procedure to follow.
489
Initialize Start transmitting and receiving
1
Read TDRE flag in SSR No TDRE = 1? Yes Write transmit data in TDR and clear TDRE flag to 0 in SSR
2
Read ORER flag in SSR Yes ORER = 1? 3 No Read RDRF flag in SSR No RDRF = 1? Yes Read receive data from RDR and clear RDRF flag to 0 in SSR Error handling 4
No
End of transmitting and receiving? Yes Clear TE and RE bits to 0 in SCR
5
1. SCI initialization: the transmit data output function of the TxD pin and receive data input function of the RxD pin are selected, enabling simultaneous transmitting and receiving. 2. SCI status check and transmit data write: read SSR, check that the TDRE flag is 1, then write transmit data in TDR and clear the TDRE flag to 0. Notification that the TDRE flag has changed from 0 to 1 can also be given by the TXI interrupt. 3. Receive error handling: if a receive error occurs, read the ORER flag in SSR, then after executing the necessary error handling, clear the ORER flag to 0. Neither transmitting nor receiving can resume while the ORER flag remains set to 1. 4. SCI status check and receive data read: read SSR, check that the RDRF flag is 1, then read receive data from RDR and clear the RDRF flag to 0. Notification that the RDRF flag has changed from 0 to 1 can also be given by the RXI interrupt. 5. To continue transmitting and receiving serial data: check the RDRF flag, read RDR, and clear the RDRF flag to 0 before the MSB (bit 7) of the current frame is received. Also check that the TDRE flag is set to 1, indicating that data can be written, write data in TDR, then clear the TDRE flag to 0 before the MSB (bit 7) of the current frame is transmitted. When the DMAC is activated by a transmit-data-empty interrupt request (TXI) to write data in TDR, the TDRE flag is checked and cleared automatically. When the DMAC is activated by a receivedata-full interrupt request (RXI) to read RDR, the RDRF flag is cleared automatically.
End Note: * When switching from transmitting or receiving to simultaneous transmitting and receiving, clear the TE and RE bits both to 0, then set the TE and RE bits both to 1.
Figure 13-20 Sample Flowchart for Serial Transmitting
490
13.4 SCI Interrupts
The SCI has four interrupt request sources: TEI (transmit-end interrupt), ERI (receive-error interrupt), RXI (receive-data-full interrupt), and TXI (transmit-data-empty interrupt). Table 13-12 lists the interrupt sources and indicates their priority. These interrupts can be enabled and disabled by the TIE, TEIE, and RIE bits in SCR. Each interrupt request is sent separately to the interrupt controller. The TXI interrupt is requested when the TDRE flag is set to 1 in SSR. The TEI interrupt is requested when the TEND flag is set to 1 in SSR. The TXI interrupt request can activate the DMAC to transfer data. Data transfer by the DMAC automatically clears the TDRE flag to 0. The TEI interrupt request cannot activate the DMAC. The RXI interrupt is requested when the RDRF flag is set to 1 in SSR. The ERI interrupt is requested when the ORER, PER, or FER flag is set to 1 in SSR. The RXI interrupt request can activate the DMAC to transfer data. Data transfer by the DMAC automatically clears the RDRF flag to 0. The ERI interrupt request cannot activate the DMAC. The DMAC can be activated by interrupts from SCI channel 0. Table 13-12 SCI Interrupt Sources
Interrupt ERI RXI TXI TEI Description Receive error (ORER, FER, or PER) Receive data register full (RDRF) Transmit data register empty (TDRE) Transmit end (TEND) Low Priority High
491
13.5 Usage Notes
Note the following points when using the SCI. TDR Write and TDRE Flag: The TDRE flag in SSR is a status flag indicating the loading of transmit data from TDR into TSR. The SCI sets the TDRE flag to 1 when it transfers data from TDR to TSR. Data can be written into TDR regardless of the state of the TDRE flag. If new data is written in TDR when the TDRE flag is 0, the old data stored in TDR will be lost because this data has not yet been transferred to TSR. Before writing transmit data in TDR, be sure to check that the TDRE flag is set to 1. Simultaneous Multiple Receive Errors: Table 13-13 indicates the state of SSR status flags when multiple receive errors occur simultaneously. When an overrun error occurs the RSR contents are not transferred to RDR, so receive data is lost. Table 13-13 SSR Status Flags and Transfer of Receive Data
SSR Status Flags RDRF 1 0 0 1 1 0 1 Notes: ORER FER 1 0 0 1 1 0 1 0 1 0 1 0 1 1 PER 0 0 1 0 1 1 1 x x x Receive Data Transfer RSR RDR x
Receive Errors Overrun error Framing error Parity error Overrun error + framing error Overrun error + parity error Framing error + parity error Overrun error + framing error + parity error
: Receive data is transferred from RSR to RDR. x Receive data is not transferred from RSR to RDR.
492
Break Detection and Processing: Break signals can be detected by reading the RxD pin directly when a framing error (FER) is detected. In the break state the input from the RxD pin consists of all 0s, so the FER flag is set and the parity error flag (PER) may also be set. In the break state the SCI receiver continues to operate, so if the FER flag is cleared to 0 it will be set to 1 again. Sending a Break Signal: When the TE bit is cleared to 0 the TxD pin becomes an I/O port, the level and direction (input or output) of which are determined by DR and DDR bits. This feature can be used to send a break signal. After the serial transmitter is initialized, the DR value substitutes for the mark state until the TE bit is set to 1 (the TxD pin function is not selected until the TE bit is set to 1). The DDR and DR bits should therefore both be set to 1 beforehand. To send a break signal during serial transmission, clear the DR bit to 0, then clear the TE bit to 0. When the TE bit is cleared to 0 the transmitter is initialized, regardless of its current state, so the TxD pin becomes an output port outputting the value 0. Receive Error Flags and Transmitter Operation (Synchronous Mode Only): When a receive error flag (ORER, PER, or FER) is set to 1 the SCI will not start transmitting, even if the TDRE flag is cleared to 0. Be sure to clear the receive error flags to 0 when starting to transmit. Note that clearing the RE bit to 0 does not clear the receive error flags to 0. Receive Data Sampling Timing in Asynchronous Mode and Receive Margin: In asynchronous mode the SCI operates on a base clock with 16 times the bit rate frequency. In receiving, the SCI synchronizes internally with the fall of the start bit, which it samples on the base clock. Receive data is latched at the rising edge of the eighth base clock pulse. See figure 13-21.
493
16 clocks 8 clocks 0 Internal base clock 7 15 0 7 15 0
Receive data (RxD)
Start bit
D0
D1
Synchronization sampling timing
Data sampling timing
Figure 13-21 Receive Data Sampling Timing in Asynchronous Mode The receive margin in asynchronous mode can therefore be expressed as in equation (1). M = | (0.5 - M: N: D: L: F: | D - 0.5 | 1 ) - (L - 0.5) F - (1 + F) | x 100% ...................(1) N 2N Receive margin (%) Ratio of clock frequency to bit rate (N = 16) Clock duty cycle (D = 0 to 1.0) Frame length (L = 9 to 12) Absolute deviation of clock frequency
From equation (1), if F = 0 and D = 0.5 the receive margin is 46.875%, as given by equation (2). D = 0.5, F = 0 M = {0.5 - 1/(2 x 16)} x 100% = 46.875%.................................................................................................(2) This is a theoretical value. A reasonable margin to allow in system designs is 20% to 30%.
494
Restrictions on Usage of DMAC To have the DMAC read RDR, be sure to select the SCI receive-data-full interrupt (RXI) as the activation source with bits DTS2 to DTS0 in DTCR. Restrictions on Usage of the Serial Clock When transmitting data using the serial clock as an external clock, after clearing SSR of TDRE, maintain the space between each frame of the lead of the transmission clock (start-up edge) at five states or more (see Figure 13-22). This condition is also needed for continuous transmission. If it is not fulfilled, operational error will occur.
SCK t* TDRE t*
TXD
X0
X1
X2
X3
X4
X5
X6
X7
Y0
Y1
Y2
Y3
Continuous transmission
Note: * Ensure that t 5 states.
Figure 13-22 Serial Clock Transmission (Example)
495
Section 14 Smart Card Interface
14.1 Overview
As an extension of its serial communication interface functions, SCI0 supports a smart card (IC card) interface conforming to the ISO/IEC7816-3 (Identification Card) standard. Switchover between normal serial communication and the smart card interface is controlled by a register setting. 14.1.1 Features Features of the smart-card interface supported by the H8/3048 Series are listed below. * Asynchronous communication -- -- -- -- -- * * Data length: 8 bits Parity bits generated and checked Error signal output in receive mode (parity error) Error signal detect and automatic data retransmit in transmit mode Supports both direct convention and inverse convention
Built-in baud rate generator with selectable bit rates Three types of interrupts Transmit-data-empty, receive-data-full, and receive-error interrupts are requested independently. The transmit-data-empty and receive-data-full interrupts can activate the DMA controller (DMAC) to transfer data.
497
14.1.2 Block Diagram Figure 14-1 shows a block diagram of the smart card interface.
Bus interface
Module data bus
Internal data bus
RDR
TDR
RxD0
RSR
TSR
SCMR SSR SCR SMR Transmit/receive control
BRR o o/4 o/16 Baud rate generator Clock o/64
TxD0
Parity generate Parity check
SCK0 TXI RXI ERI Legend SCMR: RSR: RDR: TSR: TDR: SMR: SCR: SSR: BRR:
Smart card mode register Receive shift register Receive data register Transmit shift register Transmit data register Serial mode register Serial control register Serial status register Bit rate register
Figure 14-1 Smart Card Interface Block Diagram
498
14.1.3 Input/Output Pins Table 14-1 lists the smart card interface pins. Table 14-1 Smart Card Interface Pins
Name Serial clock pin Receive data pin Transmit data pin Abbreviation SCK0 RxD0 TxD0 I/O Output Input Output Function Clock output Receive data input Transmit data output
14.1.4 Register Configuration The smart card interface has the internal registers listed in table 14-2. BRR, TDR, and RDR have their normal serial communication interface functions, as described in section 13, Serial Communication Interface. Table 14-2 Registers
Address*1 H'FFB0 H'FFB1 H'FFB2 H'FFB3 H'FFB4 H'FFB5 H'FFB6 Name Serial mode register Bit rate register Serial control register Transmit data register Serial status register Receive data register Smart card mode register Abbreviation SMR BRR SCR TDR SSR RDR SCMR R/W R/W R/W R/W R/W R/(W)*2 R R/W Initial Value H'00 H'FF H'00 H'FF F'84 H'00 H'F2
Notes: 1. Lower 16 bits of the address. 2. Only 0 can be written, to clear flags.
499
14.2 Register Descriptions
This section describes the new or modified registers and bit functions in the smart card interface. 14.2.1 Smart Card Mode Register (SCMR) SCMR is an 8-bit readable/writable register that selects smart card interface functions.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 SDIR 0 R/W 2 SINV 0 R/W 1 -- 1 -- 0 SMIF 0 R/W
Reserved bits Smart card interface mode select Enables or disables the smart card interface function Smart card data invert Inverts data logic levels Smart card data transfer direction Selects the serial/parallel conversion format
Reserved bits
SCMR is initialized to H'F2 by a reset and in standby mode. Bits 7 to 4--Reserved: Read-only bits, always read as 1. Bit 3--Smart Card Data Transfer Direction (SDIR): Selects the serial/parallel conversion format.
Bit 3 SDIR 0 1 Description TDR contents are transmitted LSB-first Received data is stored LSB-first in RDR TDR contents are transmitted MSB-first Received data is stored MSB-first in RDR (Initial value)
500
Bit 2--Smart Card Data Inverter (SINV): Inverts data logic levels. This function is used in combination with bit 3 to communicate with inverse-convention cards. SINV does not affect the logic level of the parity bit. For parity settings, see section 14.3.4, Register Settings.
Bit 2 SINV 0 1 Description Unmodified TDR contents are transmitted Received data is stored unmodified in RDR Inverted TDR contents are transmitted Received data is inverted before storage in RDR (Initial value)
Bit 1--Reserved: Read-only bit, always read as 1. Bit 0--Smart Card Interface Mode Select (SMIF): Enables the smart card interface function.
Bit 0 SMIF 0 1 Description Smart card interface function is disabled Smart card interface function is enabled (Initial value)
14.2.2 Serial Status Register (SSR) The function of SSR bit 4 is modified in the smart card interface. This change also causes a modification to the setting conditions for bit 2 (TEND).
Bit Initial value Read/Write 7 TDRE 1 R/(W)* 6 RDRF 0 R/(W)* 5 ORER 0 R/(W)* 4 ERS 0 R/(W)* 3 PER 0 R/(W)* 2 TEND 1 R 1 MPB 0 R 0 MPBT 0 R/W
Transmit end Status flag indicating end of transmission Error signal status (ERS) Status flag indicating that an error signal has been received Note: * Only 0 can be written, to clear the flag.
501
Bits 7 to 5: These bits operate as in normal serial communication. For details see section 13, Serial Communication Interface. Bit 4--Error Signal Status (ERS): In smart card interface mode, this flag indicates the status of the error signal sent from the receiving device to the transmitting device. The smart card interface does not detect framing errors.
Bit 4 ERS 0 Description Indicates normal data transmission, with no error signal returned [Clearing conditions] The chip is reset or enters standby mode. Software reads ERS while it is set to 1, then writes 0. (Initial value)
1
Indicates that the receiving device sent an error signal reporting a parity error [Setting condition] A low error signal was sampled.
Note: Clearing the TE bit to 0 in SCR does not affect the ERS flag, which retains its previous value.
Bits 3 to 0: These bits operate as in normal serial communication. For details see section 13, Serial Communication Interface. The setting conditions for transmit end (TEND, bit 2), however, are modified as follows.
Bit 2 TEND 0 Description Transmission is in progress [Clearing conditions] Software reads TDRE while it is set to 1, then writes 0 in the TDRE flag. The DMAC writes data in TDR. End of transmission (Initial value) [Setting conditions] The chip is reset or enters standby mode. The TE bit and FER/ERS bit are both cleared to 0 in SCR. TDRE is 1 and FER/ERS is 0 at a time 2.5 etu after the last bit of a 1-byte serial character is transmitted (normal transmission)
1
Note: An etu (elementary time unit) is the time needed to transmit one bit.
502
14.2.3 Serial Mode Register (SMR) Bit 7 of SMR has a different function in smart card interface mode. The related serial control register (SCR) changes from bit 1 to bit 0. However, this function does not exist in the flash memory version.
Bit Initial value Read/Write 7 GM 0 R/W 6 CHR 0 R/W 5 PR 0 R/W 4 O/E 0 R/W 3 STOP 0 R/W 2 MP 0 R/W 1 CKS1 0 R/W 0 CKS0 0 R/W
Bit 7-GSM Mode (GM): Set at 0 when using the regular smart card interface. In GSM mode, set to 1. When transmission is complete, initially the TEND flag set timing appears followed by clock output restriction mode. Clock output restriction mode comprises serial control register bit 1 and bit 0.
Bit 7 GM 0 Description Using the regular smart card interface mode * The TEND flag is set 12.5 etu after the beginning of the start bit * Clock output on/off control only Using the GSM mode smart card interface mode * The TEND flag is set 11.0 etu after the beginning of the start bit * Clock output on/off and fixed-high/fixed-low control (Initial value)
1
Bits 6 to 0--Operate in the same way as for the normal SCI. For details, see section 13.2.5, Serial Mode Register (SMR).
503
14.2.4 Serial Control Register (SCR) Bits 1 and 0 have different functions in smart card interface mode. However, this function does not exist in the flash memory version.
Bit Initial value Read/Write 7 TIE 0 R/W 6 RIE 0 R/W 5 TE 0 R/W 4 RE 0 R/W 3 MPIE 0 R/W 2 TEIE 0 R/W 1 CKE1 0 R/W 0 CKE0 0 R/W
Bits 7 to 2--Operate in the same way as for the normal SCI. For details, see section 13.2.6, Serial Control Register (SCR). Bits 1 and 0--Clock Enable (CKE1, CKE0): Setting enable or disable for the SCI clock selection and clock output from the SCK pin. In smart card interface mode, it is possible to switch between enabling and disabling of the normal clock output, and specify a fixed high level or fixed low level for the clock output.
SMR Bit 7 GM 0 0 1 1 1 1 Bit 1 CKE1 0 0 0 0 1 1 SCR Bit 0 CKE0 0 1 0 1 0 1 Description The internal clock/SCK0 pin functions as an I/O port The internal clock/SCK0 pin functions as the clock output The internal clock/SCK0 pin is fixed at low-level output The internal clock/SCK0 pin functions as the clock output The internal clock/SCK0 pin is fixed at high-level output The internal clock/SCK0 pin functions as the clock output (Initial value)
504
14.3 Operation
14.3.1 Overview The main features of the smart-card interface are as follows. * * One frame consists of eight data bits and a parity bit. In transmitting, a guard time of at least two elementary time units (2 etu) is provided between the end of the parity bit and the start of the next frame. (An elementary time unit is the time required to transmit one bit.) In receiving, if a parity error is detected, a low error signal is output for 1 etu, beginning 10.5 etu after the start bit. In transmitting, if an error signal is received, after at least 2 etu, the same data is automatically transmitted again. Only asynchronous communication is supported. There is no synchronous communication function.
*
*
*
14.3.2 Pin Connections Figure 14-2 shows a pin connection diagram for the smart card interface. In communication with a smart card, data is transmitted and received over the same signal line. The TxD0 and RxD0 pins should both be connected to this line. The data transmission line should be pulled up to VCC through a resistor. If the smart card uses the clock generated by the smart card interface, connect the SCK0 output pin to the card's CLK input. If the card uses its own internal clock, this connection is unnecessary. The reset signal should be output from one of the H8/3048 Series' generic ports. In addition to these pin connections, power and ground connections will normally also be necessary.
505
VCC
TxD0 RxD0 SCK0 Clock line Px (port) H8/3048 Series Chip Card-processing device Reset line Data line
I/O
CLK RST Smart card
Figure 14-2 Smart Card Interface Connection Diagram Note: A loop-back test can be performed by setting both RE and TE to 1 without connecting a smart card. 14.3.3 Data Format Figure 14-3 shows the data format of the smart card interface. In receive mode, parity is checked once per frame. If a parity error is detected, an error signal is returned to the transmitting device to request retransmission. In transmit mode, the error signal is sampled and the same data is retransmitted if the error signal is low.
No parity error Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp
Output from transmitting device
Parity error Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp DE
Output from transmitting device Output from receiving device Ds: D0 to D7: Dp: DE: Start bit Data bits Parity bit Error signal
Figure 14-3 Smart Card Interface Data Format
506
The operating sequence is as follows. 1. When not in use, the data line is in the high-impedance state, and is pulled up to the high level through a resistor. To start transmitting a frame of data, the transmitting device transmits a low start bit (Ds), followed by eight data bits (D0 to D7) and a parity bit (Dp). Next, in the smart card interface, the transmitting device returns the data line to the highimpedance state. The data line is pulled up to the high level through a resistor. The receiving device performs a parity check. If there is no parity error, the receiving device waits to receive the next data. If a parity error is present, the receiving device outputs a low error signal (DE) to request retransmission of the data. After outputting the error signal for a designated interval, the receiving device returns the signal line to the high-impedance state. The signal line is pulled back up to the high level through the pull-up resistor. If the transmitting device does not receive an error signal, it proceeds to transmit the next data. If it receives an error signal, it returns to step 2 and transmits the same data again.
2.
3.
4.
5.
507
14.3.4 Register Settings Table 14-3 shows a bit map of the registers used in the smart card interface. Bits indicated as 0 or 1 should always be set to the indicated value. The settings of the other bits will be described in this section. Table 14-3 Register Settings in Smart Card Interface
Register SMR BRR SCR TDR SSR RDR SCMR Address*1 H'FFB0 H'FFB1 H'FFB2 H'FFB3 H'FFB4 H'FFB5 H'FFB6 Bit 7 GM BRR7 TIE TDR7 TDRE RDR7 -- Bit 6 0 BRR6 RIE TDR6 RDRF RDR6 -- Bit 5 1 BRR5 TE TDR5 ORER RDR5 -- Bit 4 O/E BRR4 RE TDR4 ERS RDR4 -- Bit 3 1 BRR3 0 TDR3 PER RDR3 SDIR Bit 2 0 BRR2 0 TDR2 TEND RDR2 SINV Bit 1 CKS1 BRR1 CKE1*2 TDR1 0 RDR1 -- Bit 0 CKS0 BRR0 CKE0 TDR0 0 RDR0 SMIF
Notes: -- Unused bit. 1. Lower 16 bits of the address. 2. When the GM of the SMR is set at 0, be sure the CKE1 bit is 0.
Serial Mode Register (SMR) Settings: In regular smart card interface mode, set the GM bit at 0. In regular smart card mode, clear the GM bit to 0. In GSM mode, set the GM bit to 1. Clear the O/E bit to 0 if the smart card uses the direct convention. Set the O/E bit to 1 if the smart card uses the inverse convention. Bits CKS1 and CKS0 select the clock source of the built-in baud rate generator. See section 14.3.5, Clock. Bit Rate Register (BRR) Settings: This register sets the bit rate. Equations for calculating the setting are given in section 14.3.5, Clock. Serial Control Register (SCR): The TIE, RIE, TE, and RE bits have their normal serial communication functions. For details, see section 13, Serial Communication Interface. The CKE1 and CKE0 bits select clock output. When the GM bit of the SMR is cleared to 0, to disable clock output, clear this bit to 00. To enable clock output, set this bit to 01. When the GM bit of the SMR is set to 1, clock output is enabled. Clock output is fixed at high or low. Smart Card Mode Register (SCMR): If the smart card follows the direct convention, clear the SDIR and SINV bits to 0. If the smart card follows the indirect convention, set the SDIR and SINV bits to 1. To use the smart card interface, set the SMIF bit to 1.
508
The register settings and examples of starting character waveforms are shown below for two smart cards, one following the direct convention and one the inverse convention. Direct convention (SDIR = SINV = O/E = 0)
(Z) A Z Z A Z Z Z A A Z (Z) State
Ds
D0
D1
D2
D3
D4
D5
D6
D7
Dp
In the direct convention, state Z corresponds to logic level 1, and state A to logic level 0. Characters are transmitted and received LSB-first. In the example above the first character data is H'3B. The parity bit is 1, following the even parity rule designated for smart cards. Inverse convention (SDIR = SINV = O/E = 1)
(Z) A Z Z A A A A A A Z (Z) State
Ds
D7
D6
D5
D4
D3
D2
D1
D0
Dp
In the inverse convention, state A corresponds to the logic level 1, and state Z to the logic level 0. Characters are transmitted and received MSB-first. In the example above the first character data is H'3F. Following the even parity rule designated for smart cards, the parity bit logic level is 0, corresponding to state Z. In the H8/3048 Series, the SINV bit inverts only the data bits D7 to D0. The parity bit is not inverted, so the O/E bit in SMR must be set to odd parity mode. This applies in both transmitting and receiving.
509
14.3.5 Clock As its serial communication clock, the smart card interface can use only the internal clock generated by the on-chip baud rate generator. The bit rate can be selected by setting the bit rate register (BRR) and bits CKS1 and CKS0 in the serial mode register (SMR). The bit rate can be calculated from the equation given below. Table 14-5 lists some examples of bit rate settings. If bit CKE0 is set to 1, a clock signal with a frequency equal to 372 times the bit rate is output from the SCK0 pin. o B= 1488 x 22n-1 x (N + 1) x 106
where, N: B: o: n:
BRR setting (0 N 255) Bit rate (bits/s) System clock frequency (MHz)* See table 14-4
Table 14-4 n-Values of CKS1 and CKS0 Settings
n 0 1 2 3 CKS1 0 0 1 1 CKS0 0 1 0 1
Note: * If the gear function is used to divide the system clock frequency, use the divided frequency to calculate the bit rate. The equation above applies directly to 1/1 frequency division. Table 14-5 Bit Rates (bits/s) for Different BRR Settings (when n = 0)
o (MHz) N 0 1 2 7.1424 9600.0 4800.0 3200.0 10.00 13440.9 6720.4 4480.3 10.7136 14400.0 7200.0 4800.0 13.00 17473.1 8736.6 5824.4 14.2848 19200.0 9600.0 6400.0 16.00 21505.4 10752.7 7168.5 18.00 24193.5 12096.8 8064.5
Note: Bit rates are rounded off to one decimal place.
510
The following equation calculates the bit rate register (BRR) setting from the system clock frequency and bit rate. N is an integer from 0 to 255, specifying the value with the smaller error. o N= 1488 x 22n-1 x B x 106 - 1
Table 14-6 BRR Settings for Typical Bit Rate (bits/s) (when n = 0)
o (MHz) 7.1424 Bit/s N Error 0.00 N 1 10.00 Error 30.00 N 1 10.7136 Error 25.00 N 1 13.00 Error 8.99 N 1 14.2848 Error 0.00 N 1 16.00 Error 12.01 N 2 18.00 Error 15.99
9600 0
Table 14-7 Maximum Bit Rates for Various Frequencies (Smart Card Interface)
o (MHz) 7.1424 10 10.7136 13 14.2848 16 18 Maximum Bit Rate (bits/s) 9600 13441 14400 17473 19200 21505 24194 N 0 0 0 0 0 0 0 n 0 0 0 0 0 0 0
The bit rate error is calculated from the following equation. o Error (%) = 1488 x 22n - 1 x B x (N + 1) x 106 -1 x 100
511
14.3.6 Transmitting and Receiving Data Initialization: Before transmitting or receiving data, initialize the smart card interface by the procedure below. Initialization is also necessary when switching from transmit mode to receive mode or from receive mode to transmit mode. 1. 2. 3. Clear the TE and RE bits to 0 in the serial control register (SCR). Clear the ERS, PER, and ORER error flags to 0 in the serial status register (SSR). Set the parity mode bit (O/E) and baud rate generator clock source select bits (CKS1 and CKS0) as required in the serial mode register (SMR). At the same time, clear the C/A, CHR, and MP bits to 0, and set the STOP and PE bits to 1. Set the SMIF, SDIR, and SINV bits as required in the smart card mode register (SMR). When the SMIF bit is set to 1, the TxD0 and RxD0 pins switch from their I/O port functions to their serial communication interface functions, and are placed in the high-impedance state. Set a value corresponding to the desired bit rate in the bit rate register (BRR). Set clock enable bit 0 (CKE0) as required in the serial control register (SCR). Write 0 in the TIE, RIE, TE, RE, MPIE, TEIE, and CKE1 bits. If bit CKE0 is set to 1, a serial clock will be output from the SCK0 pin. Wait for at least the interval required to transmit or receive one bit, then set the TIE, RIE, TE, and RE bits as necessary in SCR. Do not set TE and RE both to 1, except when performing a loop-back test.
4.
5. 6.
7.
Transmitting Serial Data: The transmitting procedure in smart card mode is different from the normal SCI procedure, because of the need to sample the error signal and retransmit. Figure 14-4 shows a flowchart for transmitting, and figure 14-5 shows the relation between a transmit operation and the internal registers. 1. 2. 3. 4. 5. 6. Initialize the smart card interface by the procedure given above in Initialization. Check that the ERS error flag is cleared to 0 in SSR. Check that the TEND flag is set to 1 in SSR. Repeat steps 2 and 3 until this check passes. Write transmit data in TDR and clear the TDRE flag to 0. The data will be transmitted and the TEND flag will be cleared to 0. To continue transmitting data, return to step 2. To terminate transmission, clear the TE bit to 0.
This procedure may include interrupt handling and DMA transfer. If the TIE bit is set to 1 to enable interrupt requests, when transmission is completed and the
512
TEND flag is set to 1, a transmit-data-empty interrupt (TXI) is requested. If the RIE bit is set to 1 to enable interrupt requests, when a transmit error occurs and the ERS flag is set to 1, a transmit/receive-error interrupt (ERI) is requested. The timing of TEND flag setting depends on the GM bit in SMR. The timing is shown in figure 14-6. If the TXI interrupt activates the DMAC, the number of bytes designated in the DMAC can be transmitted automatically, including automatic retransmit. For details, see Interrupt Operations and Data Transfer by DMAC in this section.
Start Initialize Start transmitting
No
FER/ERS = 0 ?
Yes
Error handling
No
TEND = 1 ?
Yes
Write data in TDR and clear TDRE flag to 0 in SSR
No
All data transmitted ?
Yes
FER/ERS = 0 ?
Yes
No
Error handling
No
TEND = 1 ?
Yes
Clear TE bit to 0 End
Figure 14-4 Transmit Flowchart (Example)
513
TDR (1) Data write (2) Transfer from TDR to TSR (3) Serial data output Data 1 Data 1 Data 1
TSR (shift register)
Data 1
; Data remains in TDR Data 1 I/O signal line output
In case of normal transmission: TEND flag is set In case of transmit error: ERS flag is set Steps (2) and (3) above are repeated until the TEND flag is set Note: When the ERS flag is set, it should be cleared until transfer of the last bit (D7 in LSB-first transmission, D0 in MSB-first transmission) of the next transfer data to be transmitted has been completed.
Figure 14-5 Relation Between Transmit Operation and Internal Registers
I/O data
DS
Da
Db
Dc
Dd
De
Df
Dg
Dh
Dp
DE
Guard
TXI (TEND interrupt)
12.5 etu GM = 0
11.0 etu GM = 1
Figure 14-6 TEND Flag Occurrence Timing
514
Receiving Serial Data: The receiving procedure in smart card mode is the same as the normal SCI procedure. Figure 14-7 shows a flowchart for receiving. 1. Initialize the smart card interface by the procedure given in Initialization at the beginning of this section. Check that the ORER and PER error flags are cleared to 0 in SSR. If either flag is set, carry out the necessary error handling, then clear both the ORER and PER flags to 0. Check that the RDRF flag is set to 1. Repeat steps 2 and 3 until this check passes. Read receive data from RDR. To continue receiving data, clear the RDRF flag to 0 and return to step 2. To terminate receiving, clear the RE bit to 0.
2.
3. 4. 5. 6.
Start Initialize Start receiving
ORER = 0 and PER = 0 ?
Yes
No
Error handling
No
RDRF = 1 ?
Yes
Read RDR and clear RDRF flag to 0 in SSR
No
All data received ?
Clear RE bit to 0
Figure 14-7 Receive Flowchart (Example)
515
This procedure may include interrupt handling and DMA transfer. If the RIE bit is set to 1 to enable interrupt requests, when receiving is completed and the RDRF flag is set to 1, a receive-data-full interrupt (RXI) is requested. If a receive error occurs, either the ORER or PER flag is set to 1 and a transmit/receive-error interrupt (ERI) is requested. If the RXI interrupt activates the DMAC, the number of bytes designated in the DMAC will be transferred, skipping receive data in which an error occurred. For details, see Interrupt Operations and Data Transfer by DMAC below. When a parity error occurs and PER is set to 1, the receive data is transferred to RDR, so the erroneous data can be read. Switching Modes: To switch from receive mode to transmit mode, check that receiving operations have completed, then initialize the smart card interface, clearing RE to 0 and setting TE to 1. Completion of receive operations is indicated by the RDRF, PER, or ORER flag. To switch from transmit mode to receive mode, check that transmitting operations have completed, then initialize the smart card interface, clearing TE to 0 and setting RE to 1. Completion of transmit operations can be verified from the TEND flag. Fixing Clock Output: When the GM bit of the SMR is set to 1, clock output is fixed by CKE1 and CKE0 of SCR. In this case, the clock pulse can be set at minimum value. Figure 14-8 shows clock output fixed timing: CKE0 is restricted with GM = 1 and CKE1 = 1.
Specified pulse width CKE1 value
Specified pulse width
SCK
SCR write (CKE0 = 0)
SCR write (CKE0 = 1)
Figure 14-8 Clock Output Fixed Timing Interrupt Operations: The smart card interface has three interrupt sources: transmit-data-empty (TXI), transmit/receive-error (ERI), and receive-data-full (RXI). The transmit-end interrupt request (TEI) is not available in smart card mode.
516
A TXI interrupt is requested when the TEND flag is set to 1 in SSR. An RXI interrupt is requested when the RDRF flag is set to 1 in SSR. An ERI interrupt is requested when the ORER, PER, or ERS flag is set to 1 in SSR. These relationships are shown in table 14-8. Table 14-8 Smart Card Mode Operating States and Interrupt Sources
Operating State Transmit mode Normal operation Error Receive mode Normal operation Error Flag TEND ERS RDRF PER, ORER Mask Bit TIE RIE RIE RIE Interrupt Source TXI ERI RXI ERI DMAC Activation Available Not available Available Not available
Data Transfer by DMAC: The DMAC can be used to transmit and receive in smart card mode, as in normal SCI operations. In transmit mode, when the TEND flag is set to 1 in SSR, the TDRE flag is set simultaneously, generating a TXI interrupt. If TXI is designated in advance as a DMAC activation source, the DMAC will be activated by the TXI request and will transfer the next transmit data. This data transfer by the DMAC automatically clears the TDRE and TEND flags to 0. When an error occurs, the SCI automatically retransmits the same data, keeping TEND cleared to 0 so that the DMAC is not activated. The SCI and DMAC will therefore automatically transmit the designated number of bytes, including retransmission when an error occurs. When an error occurs the ERS flag is not cleared automatically, so the RIE bit should be set to 1 to enable the error to generate an ERI request, and the ERI interrupt handler should clear ERS. When using the DMAC to transmit or receive, first set up and enable the DMAC, then make SCI settings. DMAC settings are described in section 8, DMA Controller. In receive operations, when the RDRF flag is set to 1 in SSR, an RXI interrupt is requested. If RXI is designated in advance as a DMAC activation source, the DMAC will be activated by the RXI request and will transfer the received data. This data transfer by the DMAC automatically clears the RDRF flag to 0. When an error occurs, the RDRF flag is not set and an error flag is set instead. The DMAC is not activated. The ERI interrupt request is directed to the CPU. The ERI interrupt handler should clear the error flags. Examples of Operation in GSM Mode When switching between smart card interface mode and software standby mode, use the following procedures to maintain the clock duty cycle. * Switching from smart card interface mode to software standby mode 1. Set the P94 data register (DR) and data direction register (DDR) to the values for the fixed output state in software standby mode.
517
2.
Write 0 to the TE and RE bits in the serial control register (SCR) to stop transmit/receive operations. At the same time, set the CKE1 bit to the value for the fixed output state in software standby mode. Write 0 to the CKE0 bit in SCR to stop the clock. Wait for one serial clock cycle. During this period, the duty cycle is preserved and clock output is fixed at the specified level. Write H'00 to the serial mode register (SMR) and smart card mode register (SCMR). Make the transition to the software standby state.
3. 4.
5. 6. *
Returning from software standby mode to smart card interface mode 1. 2. Clear the software standby state. Set the CKE1 bit in SCR to the value for the fixed output state at the start of software standby (the current P94 pin state). Set smart card interface mode and output the clock. Clock signal generation is started with the normal duty cycle.
3.
Normal operation
Software standby mode
Normal operation
(1)(2)(3)
(4) (5)(6)
(1) (2)(3)
Figure 14.9 Procedure for Stopping and Restarting the Clock Use the following procedure to secure the clock duty cycle after powering on. 1. The initial state is port input and high impedance. Use pull-up or pull-down resistors to fix the potential. Fix at the output specified by the CKE1 bit in SCR. Set SMR and SCMR, and switch to smart card interface mode operation. Set the CKE0 bit in SCR to 1 to start clock output.
518
2. 3. 4.
14.4 Usage Notes
When using the SCI as a smart card interface, note the following points. Receive Data Sampling Timing in Smart Card Mode and Receive Margin: In smart card mode the SCI operates on a base clock with 372 times the bit rate frequency. In receiving, the SCI synchronizes internally with the fall of the start bit, which it samples on the base clock. Receive data is latched at the rising edge of the 186th base clock pulse. See figure 14-10.
372 clocks 186 clocks 0 185 371 0 185 371 0
Internal base clock
Receive data (RxD)
Start bit
D0
D1
Synchronization sampling timing
Data sampling timing
Figure 14-10 Receive Data Sampling Timing in Smart Card Mode
519
The receive margin can therefore be expressed as follows. Receive margin in smart card mode: M=| M: N: D: L: F:
1
| D - 0.5 | - (L - 0.5) F - N (1 + F) | x 100%
0.5 -
2N
Receive margin (%) Ratio of clock frequency to bit rate (N = 372) Clock duty cycle (D = 0 to 1.0) Frame length (L = 10) Absolute deviation of clock frequency
From this equation, if F = 0 and D = 0.5 the receive margin is as follows. D = 0.5, F = 0 M = {0.5 - 1/(2 x 372)} x 100% = 49.866%
520
Retransmission: Retransmission is described below for the separate cases of transmit mode and receive mode. Retransmission when SCI is in Receive Mode (See Figure 14-11): (1) The SCI checks the received parity bit. If it detects an error, it automatically sets the PER flag to 1. If the RIE bit in SCR is set to the enable state, an ERI interrupt is requested. The PER flag should be cleared to 0 in SSR before the next parity bit sampling timing. (2) The RDRF bit in SSR is not set to 1 for the error frame. (3) If an error is not detected when the parity bit is checked, the PER flag is not set in SSR. (4) If an error is not detected when the parity bit is checked, receiving operations are assumed to have ended normally, and the RDRF bit is automatically set to 1 in SSR. If the RIE bit in SCR is set to the enable state, an RXI interrupt is requested. If RXI is enabled as a DMA transfer activation source, the RDR contents can be read automatically. When the DMAC reads the RDR data, it automatically clears RDRF to 0. (5) When a normal frame is received, at the error signal transmit timing, the data pin is held in the high-impedance state.
Frame n
Retransmitted frame (DE)
Frame n + 1
Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp
DE
Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp
Ds D0 D1 D2 D3 D4
RDRF (2) PER (1) (3) (4)
Figure 14-11 Retransmission in SCI Receive Mode
521
Retransmission when SCI is in Transmit Mode (See Figure 14-12): (6) After transmitting one frame, if the receiving device returns an error signal, the SCI sets the ERS flag to 1 in SSR. If the RIE bit in SCR is set to the enable state, an ERI interrupt is requested. The ERS flag should be cleared to 0 in SSR before the next parity bit sampling timing. (7) The TEND bit in SSR is not set for the frame in which the error signal was received, indicating an error. (8) If no error signal is returned from the receiving device, the ERS flag is not set in SSR. (9) If no error signal is returned from the receiving device, transmission of the frame, including retransmission, is assumed to be complete, and the TEND bit is set to 1 in SSR. If the TIE bit in SCR is set to the enable state, a TXI interrupt is requested. If TXI is enabled as a DMA transfer activation source, the next data can be written in TDR automatically. When the DMAC writes data in TDR, it automatically clears the TDRE bit to 0.
Frame n
Retransmitted frame (DE)
Frame n + 1
Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp
DE
Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp
Ds D0 D1 D2 D3 D4
TDRE Transfer from TDR to TSR TEND (7) ERS (6) (8) (9) Transfer from TDR to TSR Transfer from TDR to TSR
Figure 14-12 Retransmission in SCI Transmit Mode
522
Section 15 A/D Converter
15.1 Overview
The H8/3048 Series includes a 10-bit successive-approximations A/D converter with a selection of up to eight analog input channels. When the A/D converter is not used, it can be halted independently to conserve power. For details see section 20.6, Module Standby Function. 15.1.1 Features A/D converter features are listed below. * * * 10-bit resolution Eight input channels Selectable analog conversion voltage range The analog voltage conversion range can be programmed by input of an analog reference voltage at the VREF pin. * High-speed conversion Conversion time: maximum 7.4 s per channel (with 18 MHz system clock) * Two conversion modes Single mode: A/D conversion of one channel Scan mode: continuous conversion on one to four channels * Four 16-bit data registers A/D conversion results are transferred for storage into data registers corresponding to the channels. * * * Sample-and-hold function A/D conversion can be externally triggered A/D interrupt requested at end of conversion At the end of A/D conversion, an A/D end interrupt (ADI) can be requested.
523
15.1.2 Block Diagram Figure 15-1 shows a block diagram of the A/D converter.
Module data bus Bus interface ADDRC ADDRD ADDRA ADDRB ADCSR + - Analog multiplexer Comparator Control circuit Sample-andhold circuit ADCR
On-chip data bus
AVCC V REF AV SS 10-bit D/A
AN 0 AN 1 AN 2 AN 3 AN 4 AN 5 AN 6 AN 7
Successiveapproximations register
o/8
o/16
ADI ADTRG Legend ADCR: ADCSR: ADDRA: ADDRB: ADDRC: ADDRD:
A/D control register A/D control/status register A/D data register A A/D data register B A/D data register C A/D data register D
Figure 15-1 A/D Converter Block Diagram
524
15.1.3 Input Pins Table 15-1 summarizes the A/D converter's input pins. The eight analog input pins are divided into two groups: group 0 (AN0 to AN3), and group 1 (AN4 to AN7). AVCC and AVSS are the power supply for the analog circuits in the A/D converter. VREF is the A/D conversion reference voltage. Table 15-1 A/D Converter Pins
Pin Name Analog power supply pin Analog ground pin Reference voltage pin Analog input pin 0 Analog input pin 1 Analog input pin 2 Analog input pin 3 Analog input pin 4 Analog input pin 5 Analog input pin 6 Analog input pin 7 A/D external trigger input pin Abbreviation I/O AVCC AVSS VREF AN0 AN1 AN2 AN3 AN4 AN5 AN6 AN7 ADTRG Input Input Input Input Input Input Input Input Input Input Input Input External trigger input for starting A/D conversion Group 1 analog inputs Function Analog power supply Analog ground and reference voltage Analog reference voltage Group 0 analog inputs
525
15.1.4 Register Configuration Table 15-2 summarizes the A/D converter's registers. Table 15-2 A/D Converter Registers
Address*1 H'FFE0 H'FFE1 H'FFE2 H'FFE3 H'FFE4 H'FFE5 H'FFE6 H'FFE7 H'FFE8 H'FFE9 Name A/D data register A (high) A/D data register A (low) A/D data register B (high) A/D data register B (low) A/D data register C (high) A/D data register C (low) A/D data register D (high) A/D data register D (low) A/D control/status register A/D control register Abbreviation ADDRAH ADDRAL ADDRBH ADDRBL ADDRCH ADDRCL ADDRDH ADDRDL ADCSR ADCR R/W R R R R R R R R R/(W)*2 R/W Initial Value H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'7E
Notes: 1. Lower 16 bits of the address 2. Only 0 can be written in bit 7, to clear the flag.
526
15.2 Register Descriptions
15.2.1 A/D Data Registers A to D (ADDRA to ADDRD)
Bit ADDRn Initial value Read/Write (n = A to D) 15 0 R 14 0 R 13 0 R 12 0 R 11 0 R 10 0 R 9 0 R 8 0 R 7 0 R 6 0 R 5 0 R 4 -- 0 R 3 -- 0 R 2 -- 0 R 1 -- 0 R 0 -- 0 R
AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 --
A/D conversion data 10-bit data giving an A/D conversion result
Reserved bits
The four A/D data registers (ADDRA to ADDRD) are 16-bit read-only registers that store the results of A/D conversion. An A/D conversion produces 10-bit data, which is transferred for storage into the A/D data register corresponding to the selected channel. The upper 8 bits of the result are stored in the upper byte of the A/D data register. The lower 2 bits are stored in the lower byte. Bits 5 to 0 of an A/D data register are reserved bits that are always read as 0. Table 15-3 indicates the pairings of analog input channels and A/D data registers. The CPU can always read and write the A/D data registers. The upper byte can be read directly, but the lower byte is read through a temporary register (TEMP). For details see section 15.3, CPU Interface. The A/D data registers are initialized to H'0000 by a reset and in standby mode. Table 15-3 Analog Input Channels and A/D Data Registers
Analog Input Channel Group 0 AN0 AN1 AN2 AN3 Group 1 AN4 AN5 AN6 AN7 A/D Data Register ADDRA ADDRB ADDRC ADDRD
527
15.2.2 A/D Control/Status Register (ADCSR)
Bit Initial value Read/Write 7 ADF 0 R/(W)* 6 ADIE 0 R/W 5 ADST 0 R/W 4 SCAN 0 R/W 3 CKS 0 R/W 2 CH2 0 R/W 1 CH1 0 R/W 0 CH0 0 R/W
Channel select 2 to 0 These bits select analog input channels Clock select Selects the A/D conversion time Scan mode Selects single mode or scan mode A/D start Starts or stops A/D conversion A/D interrupt enable Enables and disables A/D end interrupts A/D end flag Indicates end of A/D conversion Note: * Only 0 can be written, to clear the flag.
ADCSR is an 8-bit readable/writable register that selects the mode and controls the A/D converter. ADCSR is initialized to H'00 by a reset and in standby mode.
528
Bit 7--A/D End Flag (ADF): Indicates the end of A/D conversion.
Bit 7 ADF 0 1 Description [Clearing condition] Cleared by reading ADF while ADF = 1, then writing 0 in ADF [Setting conditions] Single mode: A/D conversion ends Scan mode: A/D conversion ends in all selected channels (Initial value)
Bit 6--A/D Interrupt Enable (ADIE): Enables or disables the interrupt (ADI) requested at the end of A/D conversion.
Bit 6 ADIE 0 1 Description A/D end interrupt request (ADI) is disabled A/D end interrupt request (ADI) is enabled (Initial value)
Bit 5--A/D Start (ADST): Starts or stops A/D conversion. The ADST bit remains set to 1 during A/D conversion. It can also be set to 1 by external trigger input at the ADTRG pin.
Bit 5 ADST 0 1 Description A/D conversion is stopped (Initial value)
Single mode: A/D conversion starts; ADST is automatically cleared to 0 when conversion ends. Scan mode: A/D conversion starts and continues, cycling among the selected channels, until ADST is cleared to 0 by software, by a reset, or by a transition to standby mode.
529
Bit 4--Scan Mode (SCAN): Selects single mode or scan mode. For further information on operation in these modes, see section 15.4, Operation. Clear the ADST bit to 0 before switching the conversion mode.
Bit 4 SCAN 0 1 Description Single mode Scan mode (Initial value)
Bit 3--Clock Select (CKS): Selects the A/D conversion time. Clear the ADST bit to 0 before switching the conversion time.
Bit 3 CKS 0 1 Description Conversion time = 266 states (maximum) Conversion time = 134 states (maximum) (Initial value)
Bits 2 to 0--Channel Select 2 to 0 (CH2 to CH0): These bits and the SCAN bit select the analog input channels. Clear the ADST bit to 0 before changing the channel selection.
Group Selection CH2 0 CH1 0 Channel Selection CH0 0 1 1 0 1 1 0 0 1 1 0 1 Single Mode AN0 (Initial value) AN1 AN2 AN3 AN4 AN5 AN6 AN7 Description Scan Mode AN0 AN0, AN1 AN0 to AN2 AN0 to AN3 AN4 AN4, AN5 AN4 to AN6 AN4 to AN7
530
15.2.3 A/D Control Register (ADCR)
Bit Initial value Read/Write 7 TRGE 0 R/W 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- Reserved bits Trigger enable Enables or disables external triggering of A/D conversion 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
ADCR is an 8-bit readable/writable register that enables or disables external triggering of A/D conversion. ADCR is initialized to H'7F by a reset and in standby mode. Bit 7--Trigger Enable (TRGE): Enables or disables external triggering of A/D conversion.
Bit 7 TRGE 0 1 Description A/D conversion cannot be externally triggered (Initial value)
A/D conversion starts at the falling edge of the external trigger signal (ADTRG)
Bits 6 to 0--Reserved: Read-only bits, always read as 1.
531
15.3 CPU Interface
ADDRA to ADDRD are 16-bit registers, but they are connected to the CPU by an 8-bit data bus. Therefore, although the upper byte can be be accessed directly by the CPU, the lower byte is read through an 8-bit temporary register (TEMP). An A/D data register is read as follows. When the upper byte is read, the upper-byte value is transferred directly to the CPU and the lower-byte value is transferred into TEMP. Next, when the lower byte is read, the TEMP contents are transferred to the CPU. When reading an A/D data register, always read the upper byte before the lower byte. It is possible to read only the upper byte, but if only the lower byte is read, incorrect data may be obtained. Figure 15-2 shows the data flow for access to an A/D data register.
Upper-byte read
CPU (H'AA)
Module data bus Bus interface
TEMP (H'40)
ADDRnH (H'AA)
ADDRnL (H'40) (n = A to D)
Lower-byte read
CPU (H'40)
Module data bus Bus interface
TEMP (H'40)
ADDRnH (H'AA)
ADDRnL (H'40) (n = A to D)
Figure 15-2 A/D Data Register Access Operation (Reading H'AA40)
532
15.4 Operation
The A/D converter operates by successive approximations with 10-bit resolution. It has two operating modes: single mode and scan mode. 15.4.1 Single Mode (SCAN = 0) Single mode should be selected when only one A/D conversion on one channel is required. A/D conversion starts when the ADST bit is set to 1 by software, or by external trigger input. The ADST bit remains set to 1 during A/D conversion and is automatically cleared to 0 when conversion ends. When conversion ends the ADF bit is set to 1. If the ADIE bit is also set to 1, an ADI interrupt is requested at this time. To clear the ADF flag to 0, first read ADCSR, then write 0 in ADF. When the mode or analog input channel must be switched during analog conversion, to prevent incorrect operation, first clear the ADST bit to 0 in ADCSR to halt A/D conversion. After making the necessary changes, set the ADST bit to 1 to start A/D conversion again. The ADST bit can be set at the same time as the mode or channel is changed. Typical operations when channel 1 (AN1) is selected in single mode are described next. Figure 15-3 shows a timing diagram for this example. 1. Single mode is selected (SCAN = 0), input channel AN1 is selected (CH2 = CH1 = 0, CH0 = 1), the A/D interrupt is enabled (ADIE = 1), and A/D conversion is started (ADST = 1). When A/D conversion is completed, the result is transferred into ADDRB. At the same time the ADF flag is set to 1, the ADST bit is cleared to 0, and the A/D converter becomes idle. Since ADF = 1 and ADIE = 1, an ADI interrupt is requested. The A/D interrupt handling routine starts. The routine reads ADCSR, then writes 0 in the ADF flag. The routine reads and processes the conversion result (ADDRB). Execution of the A/D interrupt handling routine ends. After that, if the ADST bit is set to 1, A/D conversion starts again and steps 2 to 7 are repeated.
2.
3. 4. 5. 6. 7.
533
Set *
ADIE A/D conversion starts Clear * Clear * Set * Set *
ADST
ADF Idle
State of channel 0 (AN 0) Idle
A/D conversion (1)
State of channel 1 (AN 1) Idle Idle
A/D conversion (2)
Idle
State of channel 2 (AN 2) Idle
State of channel 3 (AN 3)
Figure 15-3 Example of A/D Converter Operation (Single Mode, Channel 1 Selected)
Read conversion result A/D conversion result (1)
534
ADDRA Read conversion result A/D conversion result (2)
ADDRB
ADDRC
ADDRD
Note: * Vertical arrows ( ) indicate instructions executed by software.
15.4.2 Scan Mode (SCAN = 1) Scan mode is useful for monitoring analog inputs in a group of one or more channels. When the ADST bit is set to 1 by software or external trigger input, A/D conversion starts on the first channel in the group (AN0 when CH2 = 0, AN4 when CH2 = 1). When two or more channels are selected, after conversion of the first channel ends, conversion of the second channel (AN1 or AN5) starts immediately. A/D conversion continues cyclically on the selected channels until the ADST bit is cleared to 0. The conversion results are transferred for storage into the A/D data registers corresponding to the channels. When the mode or analog input channel selection must be changed during analog conversion, to prevent incorrect operation, first clear the ADST bit to 0 in ADCSR to halt A/D conversion. After making the necessary changes, set the ADST bit to 1. A/D conversion will start again from the first channel in the group. The ADST bit can be set at the same time as the mode or channel selection is changed. Typical operations when three channels in group 0 (AN0 to AN2) are selected in scan mode are described next. Figure 15-4 shows a timing diagram for this example. 1. Scan mode is selected (SCAN = 1), scan group 0 is selected (CH2 = 0), analog input channels AN0 to AN2 are selected (CH1 = 1, CH0 = 0), and A/D conversion is started (ADST = 1). When A/D conversion of the first channel (AN0) is completed, the result is transferred into ADDRA. Next, conversion of the second channel (AN1) starts automatically. Conversion proceeds in the same way through the third channel (AN2). When conversion of all selected channels (AN0 to AN2) is completed, the ADF flag is set to 1 and conversion of the first channel (AN0) starts again. If the ADIE bit is set to 1, an ADI interrupt is requested at this time. Steps 2 to 4 are repeated as long as the ADST bit remains set to 1. When the ADST bit is cleared to 0, A/D conversion stops. After that, if the ADST bit is set to 1, A/D conversion starts again from the first channel (AN0).
2.
3. 4.
5.
535
Continuous A/D conversion Set *1 Clear*1
ADST Clear* 1 A/D conversion time Idle
A/D conversion (1)
ADF Idle A/D conversion (4) Idle
State of channel 0 (AN 0) Idle A/D conversion (2) Idle
State of channel 1 (AN 1) Idle A/D conversion (3)
A/D conversion (5)*2
Idle
State of channel 2 (AN 2) Idle Transfer A/D conversion result (1)
Idle
State of channel 3 (AN 3)
Figure 15-4 Example of A/D Converter Operation (Scan Mode, Channels AN0 to AN2 Selected)
A/D conversion result (2)
536
ADDRA
A/D conversion result (4)
ADDRB
ADDRC
A/D conversion result (3)
ADDRD
Notes: 1. Vertical arrows ( ) indicate instructions executed by software. 2. Data currently being converted is ignored.
15.4.3 Input Sampling and A/D Conversion Time The A/D converter has a built-in sample-and-hold circuit. The A/D converter samples the analog input at a time tD after the ADST bit is set to 1, then starts conversion. Figure 15-5 shows the A/D conversion timing. Table 15-4 indicates the A/D conversion time. As indicated in figure 15-5, the A/D conversion time includes tD and the input sampling time. The length of tD varies depending on the timing of the write access to ADCSR. The total conversion time therefore varies within the ranges indicated in table 15-4. In scan mode, the values given in table 15-4 apply to the first conversion. In the second and subsequent conversions the conversion time is fixed at 256 states when CKS = 0 or 128 states when CKS = 1.
(1) o
Address bus
(2)
Write signal
Input sampling timing
ADF tD t SPL t CONV Legend (1): ADCSR write cycle (2): ADCSR address tD : Synchronization delay t SPL : Input sampling time t CONV: A/D conversion time
Figure 15-5 A/D Conversion Timing
537
Table 15-4 A/D Conversion Time (Single Mode)
CKS = 0 Symbol Synchronization delay Input sampling time A/D conversion time tD tSPL tCONV Min 10 -- 259 Typ -- 63 -- Max 17 -- 266 Min 6 -- 131 CKS = 1 Typ -- 31 -- Max 9 -- 134
Note: Values in the table are numbers of states.
15.4.4 External Trigger Input Timing A/D conversion can be externally triggered. When the TRGE bit is set to 1 in ADCR, external trigger input is enabled at the ADTRG pin. A high-to-low transition at the ADTRG pin sets the ADST bit to 1 in ADCSR, starting A/D conversion. Other operations, in both single and scan modes, are the same as if the ADST bit had been set to 1 by software. Figure 15-6 shows the timing.
o
ADTRG
Internal trigger signal
ADST A/D conversion
Figure 15-6 External Trigger Input Timing
538
15.5 Interrupts
The A/D converter generates an interrupt (ADI) at the end of A/D conversion. The ADI interrupt request can be enabled or disabled by the ADIE bit in ADCSR.
15.6 Usage Notes
When using the A/D converter, note the following points: 1. Analog Input Voltage Range: During A/D conversion, the voltages input to the analog input pins should be in the range AVSS ANn VREF. Relationships of AVCC and AVSS to VCC and VSS: AVCC, AVSS, VCC, and VSS should be related as follows: AVSS = VSS. AVCC and AVSS must not be left open, even if the A/D converter is not used. VREF Programming Range: The reference voltage input at the VREF pin should be in the range VREF AVCC. Analog voltage When using an A/D converter, make the following voltage settings. (1) VCC AVCC - 0.3V (2) AVCC VREF ANn AVSS = VSS (N = 0 to 7) Note: Restriction for the ZTATTM version only; The S Mask version of ZTATTM, the Flash Memory version and Mask ROM version can be used regularly without restriction. Failure to observe points 1, 2, 3, and 4 above may degrade chip reliability. 5. Note on Board Design: In board layout, separate the digital circuits from the analog circuits as much as possible. Particularly avoid layouts in which the signal lines of digital circuits cross or closely approach the signal lines of analog circuits. Induction and other effects may cause the analog circuits to operate incorrectly, or may adversely affect the accuracy of A/D conversion. The analog input signals (AN0 to AN7), analog reference voltage (VREF), and analog supply voltage (AVCC) must be separated from digital circuits by the analog ground (AVSS). The analog ground (AVSS) should be connected to a stable digital ground (VSS) at one point on the board.
2.
3.
4.
539
6.
Note on Noise: To prevent damage from surges and other abnormal voltages at the analog input pins (AN0 to AN7) and analog reference voltage pin (VREF), connect a protection circuit like the one in figure 15-7 between AVCC and AVSS. The bypass capacitors connected to AVCC and VREF and the filter capacitors connected to AN0 to AN7 must be connected to AVSS. If filter capacitors like the ones in figure 15-7 are connected, the voltage values input to the analog input pins (AN0 to AN7) will be smoothed, which may give rise to error. Error can also occur if A/D conversion is frequently performed in scan mode so that the current that charges and discharges the capacitor in the sample-and-hold circuit of the A/D converter becomes greater than that input to the analog input pins via input impedance Rin. The circuit constants should therefore be selected carefully.
AVCC
VREF Rin*2
*1 *1
100 AN0 to AN7 0.1 F
AVSS
Notes: 1. Numeric values are approximate.
10 F
0.01 F
2. Rin: input impedance
Figure 15-7 Example of Analog Input Protection Circuit
540
10 k AN0 to AN7 To A/D converter 20 pF
Note: Numeric values are approximate.
Figure 15-8 Analog Input Pin Equivalent Circuit Table 15-5 Analog Input Pin Ratings
Item Analog input capacitance Allowable signal-source impedance min -- -- max 20 10* Unit pF k
Note: * When VCC = 4.0 V to 5.5 V and o 12 MHz.
7.
A/D Conversion Accuracy Definitions: A/D conversion accuracy in the H8/3048 Series is defined as follows: Resolution:..................Digital output code length of A/D converter Offset error:.................Deviation from ideal A/D conversion characteristic of analog input voltage required to raise digital output from minimum voltage value 0000000000 to 0000000001 (figure 15-10) Full-scale error:...........Deviation from ideal A/D conversion characteristic of analog input voltage required to raise digital output from 1111111110 to 1111111111 (figure 15-10) Quantization error:......Intrinsic error of the A/D converter; 1/2 LSB (figure 15-9) Nonlinearity error: ......Deviation from ideal A/D conversion characteristic in range from zero volts to full scale, exclusive of offset error, full-scale error, and quantization error. Absolute accuracy:......Deviation of digital value from analog input value, including offset error, full-scale error, quantization error, and nonlinearity error.
* *
*
* *
*
541
Digital output
111 110 101 100 011 010 001 000
Ideal A/D conversion characteristic
Quantization error
1/8 2/8 3/8 4/8 5/8 6/8 7/8 FS Analog input voltage
Figure 15-9 A/D Converter Accuracy Definitions (1)
542
Digital output
Full-scale error
Ideal A/D conversion characteristic
Nonlinearity error
Actual A/D conversion characteristic FS Offset error Analog input voltage
Figure 15-10 A/D Converter Accuracy Definitions (2) 8. Allowable Signal-Source Impedance: The analog inputs of the H8/3048 Series are designed to assure accurate conversion of input signals with a signal-source impedance not exceeding 10 k. The reason for this rating is that it enables the input capacitor in the sample-and-hold circuit in the A/D converter to charge within the sampling time. If the sensor output impedance exceeds 10 k, charging may be inadequate and the accuracy of A/D conversion cannot be guaranteed. If a large external capacitor is provided in scan mode, then the internal 10-k input resistance becomes the only significant load on the input. In this case the impedance of the signal source is not a problem. A large external capacitor, however, acts as a low-pass filter. This may make it impossible to track analog signals with high dv/dt (e.g. a variation of 5 mV/s) (figure 15-11). To convert high-speed analog signals or to use scan mode, insert a low-impedance buffer. 9. Effect on Absolute Accuracy: Attaching an external capacitor creates a coupling with ground, so if there is noise on the ground line, it may degrade absolute accuracy. The capacitor must be connected to an electrically stable ground, such as AVSS. If a filter circuit is used, be careful of interference with digital signals on the same board, and make sure the circuit does not act as an antenna.
543
H8/3048 Series Sensor output impedance Sensor input Up to 10 k Cin = 15 pF
Equivalent circuit of A/D converter 10 k
Low-pass filter Up to 0.1 F
20 pF
Figure 15-11 Analog Input Circuit (Example)
544
Section 16 D/A Converter
16.1 Overview
The H8/3048 Series includes a D/A converter with two channels. 16.1.1 Features D/A converter features are listed below. * * * * * Eight-bit resolution Two output channels Conversion time: maximum 10 s (with 20-pF capacitive load) Output voltage: 0 V to VREF D/A outputs can be sustained in software standby mode
16.1.2 Block Diagram Figure 16-1 shows a block diagram of the D/A converter.
Module data bus
VREF DASTCR AVCC DADR0 DADR1 DA 0 DA 1 AVSS 8-bit D/A DACR
Legend DACR: D/A control register DADR0: D/A data register 0 DADR1: D/A data register 1 DASTCR: D/A standby control register
Control circuit
Figure 16-1 D/A Converter Block Diagram
545
Bus interface
On-chip data bus
16.1.3 Input/Output Pins Table 16-1 summarizes the D/A converter's input and output pins. Table 16-1 D/A Converter Pins
Pin Name Analog power supply pin Analog ground pin Analog output pin 0 Analog output pin 1 Reference voltage input pin Abbreviation AVCC AVSS DA0 DA1 VREF I/O Input Input Output Output Input Function Analog power supply Analog ground and reference voltage Analog output, channel 0 Analog output, channel 1 Analog reference voltage
16.1.4 Register Configuration Table 16-2 summarizes the D/A converter's registers. Table 16-2 D/A Converter Registers
Address* H'FFDC H'FFDD H'FFDE H'FF5C Name D/A data register 0 D/A data register 1 D/A control register Abbreviation DADR0 DADR1 DACR R/W R/W R/W R/W R/W Initial Value H'00 H'00 H'1F H'FE
D/A standby control register DASTCR
Note: * Lower 16 bits of the address
546
16.2 Register Descriptions
16.2.1 D/A Data Registers 0 and 1 (DADR0/1)
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
The D/A data registers (DADR0 and DADR1) are 8-bit readable/writable registers that store the data to be converted. When analog output is enabled, the D/A data register values are constantly converted and output at the analog output pins. The D/A data registers are initialized to H'00 by a reset and in standby mode. 16.2.2 D/A Control Register (DACR)
Bit Initial value Read/Write 7 DAOE1 0 R/W 6 DAOE0 0 R/W 5 DAE 0 R/W 4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
D/A enable Controls D/A conversion D/A output enable 0 Controls D/A conversion and analog output D/A output enable 1 Controls D/A conversion and analog output
DACR is an 8-bit readable/writable register that controls the operation of the D/A converter. DACR is initialized to H'1F by a reset and in standby mode.
547
Bit 7--D/A Output Enable 1 (DAOE1): Controls D/A conversion and analog output.
Bit 7 DAOE1 0 1 Description DA1 analog output is disabled Channel-1 D/A conversion and DA1 analog output are enabled
Bit 6--D/A Output Enable 0 (DAOE0): Controls D/A conversion and analog output.
Bit 6 DAOE0 0 1 Description DA0 analog output is disabled Channel-0 D/A conversion and DA0 analog output are enabled
Bit 5--D/A Enable (DAE): Controls D/A conversion, together with bits DAOE0 and DAOE1. When the DAE bit is cleared to 0, analog conversion is controlled independently in channels 0 and 1. When the DAE bit is set to 1, analog conversion is controlled together in channels 0 and 1. Output of the conversion results is always controlled independently by DAOE0 and DAOE1.
Bit 7 Bit 6 Bit 5 DAOE1 DAOE0 DAE 0 0 0 1 -- 0 Description D/A conversion is disabled in channels 0 and 1 D/A conversion is enabled in channel 0 D/A conversion is disabled in channel 1 0 1 1 0 1 0 D/A conversion is enabled in channels 0 and 1 D/A conversion is disabled in channel 0 D/A conversion is enabled in channel 1 1 1 0 1 1 -- D/A conversion is enabled in channels 0 and 1 D/A conversion is enabled in channels 0 and 1
When the DAE bit is set to 1, even if bits DAOE0 and DAOE1 in DACR and the ADST bit in ADCSR are cleared to 0, the same current is drawn from the analog power supply as during A/D and D/A conversion. Bits 4 to 0--Reserved: Read-only bits, always read as 1.
548
16.2.3 D/A Standby Control Register (DASTCR) DASTCR is an 8-bit readable/writable register that enables or disables D/A output in software standby mode.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- Reserved bits D/A standby enable Enables or disables D/A output in software standby mode 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 DASTE 0 R/W
DASTCR is initialized to H'FE by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 7 to 1--Reserved: Read-only bits, always read as 1. Bit 0--D/A Standby Enable (DASTE): Enables or disables D/A output in software standby mode.
Bit 0 DASTE 0 1 Description D/A output is disabled in software standby mode D/A output is enabled in software standby mode (Initial value)
549
16.3 Operation
The D/A converter has two built-in D/A conversion circuits that can perform conversion independently. D/A conversion is performed constantly while enabled in DACR. If the DADR0 or DADR1 value is modified, conversion of the new data begins immediately. The conversion results are output when bits DAOE0 and DAOE1 are set to 1. An example of D/A conversion on channel 0 is given next. Timing is indicated in figure 16-2. 1. 2. Data to be converted is written in DADR0. Bit DAOE0 is set to 1 in DACR. D/A conversion starts and DA0 becomes an output pin. The converted result is output after the conversion time. The output value is (DADR0 contents/256) x VREF. Output of this conversion result continues until the value in DADR0 is modified or the DAOE0 bit is cleared to 0. If the DADR0 value is modified, conversion starts immediately, and the result is output after the conversion time. When the DAOE0 bit is cleared to 0, DA0 becomes an input pin.
DADR0 write cycle DACR write cycle DADR0 write cycle DACR write cycle
3.
4.
o
Address bus DADR0 DAOE0 DA 0 High-impedance state t DCONV Legend t DCONV : D/A conversion time Conversion result 2 Conversion data 1 Conversion data 2
Conversion result 1 t DCONV
Figure 16-2 Example of D/A Converter Operation
550
16.4 D/A Output Control
In the H8/3048 Series, D/A converter output can be enabled or disabled in software standby mode. When the DASTE bit is set to 1 in DASTCR, D/A converter output is enabled in software standby mode. The D/A converter registers retain the values they held prior to the transition to software standby mode. When D/A output is enabled in software standby mode, the reference supply current is the same as during normal operation.
16.5 Usage Notes
When using an D/A converter, note the following. (1) VCC AVCC - 0.3V (2) AVCC VREF ANn AVSS = VSS (N = 0 to 7) Note: Restriction for the ZTATTM version only; The S Mask version of ZTATTM, the Flash Memory version and Mask ROM version can be used regularly without restriction.
551
Section 17 RAM
17.1 Overview
The H8/3048 and H8/3047 have 4 kbytes of high-speed static RAM on-chip. The H8/3045 and H8/3044 have 2 kbytes. The RAM is connected to the CPU by a 16-bit data bus. The CPU accesses both byte data and word data in two states, making the RAM useful for rapid data transfer. The on-chip RAM of the H8/3048 and H8/3047 is assigned to addresses H'FEF10 to H'FFF0F in modes 1, 2, 5, and 7, and to addresses H'FFEF10 to H'FFFF0F in modes 3, 4, and 6. The on-chip RAM of the H8/3045 and H8/3044 are assigned to addresses H'FF710 to H'FFF0F in modes 1, 2, 5, and 7, and to addresses H'FFF710 to H'FFFF0F in modes 3, 4, and 6. The RAM enable bit (RAME) in the system control register (SYSCR) can enable or disable the on-chip RAM. 17.1.1 Block Diagram Figure 17-1 shows a block diagram of the on-chip RAM.
On-chip data bus (upper 8 bits)
On-chip data bus (lower 8 bits)
Bus interface
SYSCR
H'FEF10* H'FEF12*
H'FEF11* H'FEF13*
On-chip RAM
H'FFF0E* Even addresses Legend SYSCR: System control register
H'FFF0F* Odd addresses
Note: * This example is of the H8/3048 operating in mode 7. The lower 20 bits of the address are shown.
Figure 17-1 RAM Block Diagram
553
17.1.2 Register Configuration The on-chip RAM is controlled by SYSCR. Table 17-1 gives the address and initial value of SYSCR. Table 17-1 System Control Register
Address* H'FFF2 Name System control register Abbreviation SYSCR R/W R/W Initial Value H'0B
Note: * Lower 16 bits of the address.
554
17.2 System Control Register (SYSCR)
Bit Initial value Read/Write 7 SSBY 0 R/W 6 STS2 0 R/W 5 STS1 0 R/W 4 STS0 0 R/W 3 UE 1 R/W 2 NMIEG 0 R/W 1 -- 1 -- 0 RAME 1 R/W
RAM enable Enables or disables on-chip RAM Reserved bit NMI edge select User bit enable Standby timer select 2 to 0 Software standby
One function of SYSCR is to enable or disable access to the on-chip RAM. The on-chip RAM is enabled or disabled by the RAME bit in SYSCR. For details about the other bits, see section 3.3, System Control Register (SYSCR). Bit 0--RAM Enable (RAME): Enables or disables the on-chip RAM. The RAME bit is initialized at the rising edge of the input at the RES pin. It is not initialized in software standby mode.
Bit 0 RAME 0 1 Description On-chip RAM is disabled On-chip RAM is enabled (Initial value)
555
17.3 Operation
When the RAME bit is set to 1, the on-chip RAM is enabled. Accesses to addresses H'FEF10 to H'FFF0F in the H8/3048 and H8/3047 in modes 1, 2, 5, and 7, addresses H'FFEF10 to H'FFFF0F in the H8/3048 and H8/3047 in modes 3, 4, and 6, addresses H'FF710 to H'FFF0F in the H8/3045 and H8/3044 in modes 1, 2, 5, and 7, and addresses H'FFF710 to H'FFFF0F in the H8/3045 and H8/3044 in modes 3, 4, and 6 are directed to the on-chip RAM. In modes 1 to 6 (expanded modes), when the RAME bit is cleared to 0, the off-chip address space is accessed. In mode 7 (single-chip mode), when the RAME bit is cleared to 0, the on-chip RAM is not accessed: read access always results in H'FF data, and write access is ignored. Since the on-chip RAM is connected to the CPU by an internal 16-bit data bus, it can be written and read by word access. It can also be written and read by byte access. Byte data is accessed in two states using the upper 8 bits of the data bus. Word data starting at an even address is accessed in two states using all 16 bits of the data bus.
556
Section 18 ROM
18.1 Overview
The H8/3048 has 128 kbytes of on-chip ROM, the H8/3047 has 96 kbytes, the H8/3045 has 64 kbytes and the H8/3044 has 32 kbytes. The ROM is connected to the CPU by a 16-bit data bus. The CPU accesses both byte data and word data in two states, enabling rapid data transfer. The mode pins (MD2 to MD0) can be set to enable or disable the on-chip ROM as indicated in table 18-1. Table 18-1 Operating Mode and ROM
Mode Pins Mode Mode 1 (1-Mbyte expanded mode with on-chip ROM disabled) Mode 2 (1-Mbyte expanded mode with on-chip ROM disabled) Mode 3 (16-Mbyte expanded mode with on-chip ROM disabled) Mode 4 (16-Mbyte expanded mode with on-chip ROM disabled) Mode 5 (1-Mbyte expanded mode with on-chip ROM enabled) Mode 6 (16-Mbyte expanded mode with on-chip ROM enabled) Mode 7 (single-chip mode) MD2 MD1 MD0 On-Chip ROM 0 0 0 1 1 1 1 0 1 1 0 0 1 1 1 0 1 0 1 0 1 Enabled Disabled (external address area)
The PROM version (H8/3048-ZTAT) and the flash memory version (H8/3048F-ZTAT) can be set to PROM mode and programmed with a general-purpose PROM programmer.
557
18.1.1 Block Diagram Figure 18-1 shows a block diagram of the ROM.
On-chip data bus (upper 8 bits)
On-chip data bus (lower 8 bits)
Bus interface
H'0000 H'0002 On-chip ROM
H'0001 H'0003
H'1FFFE Even addresses
H'1FFFF Odd addresses
Figure 18-1 ROM Block Diagram (H8/3048, Mode 7)
558
18.2 PROM Mode
18.2.1 PROM Mode Setting In PROM mode, the H8/3048 version with on-chip PROM suspends its microcontroller functions, enabling the on-chip PROM to be programmed. The programming method is the same as for the HN27C101, except that page programming is not supported. Table 18-2 indicates how to select PROM mode. Table 18-2 Selecting PROM Mode
Pins Three mode pins (MD2, MD1, MD0) STBY pin P51 and P50 High Setting Low
18.2.2 Socket Adapter and Memory Map The PROM is programmed using a general-purpose PROM programmer with a socket adapter to convert to 32 pins. Table 18-3 lists the socket adapter for each package option. Figure 18-2 shows the pin assignments of the socket adapter. Figure 18-3 shows a memory map in PROM mode. Table 18-3 Socket Adapter
Microcontroller H8/3048 Package 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B)
--Preliminary--
Socket Adapter HS3042ESHS1H HS3042ESNS1H
The size of the H8/3048 PROM is 128 kbytes. Figure 18-3 shows a memory map in PROM mode. H'FF data should be specified for unused address areas in the on-chip PROM. When programming the H8/3048 with a PROM programmer, set the address range to H'00000 to H'1FFFF.
559
H8/3048 FP-100B, TFP-100B 10 64 58 87 88 27 28 29 30 31 32 33 34 36 37 38 39 40 41 42 43 45 46 47 48 49 50 51 52 53 54 77 76 1 35 68 73 74 75 62 86 11 22 44 57 65 92
Pin RESO NMI P6 0 P8 0 P8 1 P3 0 P3 1 P3 2 P3 3 P3 4 P3 5 P3 6 P3 7 P1 0 P1 1 P1 2 P1 3 P1 4 P1 5 P1 6 P1 7 P2 0 P2 1 P2 2 P2 3 P2 4 P2 5 P2 6 P2 7 P5 0 P5 1 VREF AVCC VCC VCC VCC MD0 MD1 MD2 STBY AVSS VSS VSS VSS VSS VSS VSS
Pin VPP EA 9 EA15 EA16 PGM EO0 EO1 EO2 EO3 EO4 EO5 EO6 EO7 EA 0 EA 1 EA 2 EA 3 EA 4 EA 5 EA 6 EA 7 EA 8 OE EA 10 EA 11 EA 12 EA 13 EA 14 CE VCC
PROM Socket HN27C101 (32 Pins) 1 26 3 2 31 13 14 15 17 18 19 20 21 12 11 10 9 8 7 6 5 27 24 23 25 4 28 29 22 32
VSS
16
Legend V PP : EO 7 to EO0 : EA16 to EA 0 : OE: CE: PGM:
Programming voltage (12.5 V) Data input/output Address input Output enable Chip enable Program
Note: Pins not shown in this diagram should be left open. This figure shows pin assignments, and does not show the entire socket adapter circuit. When undertaking a new design, board design (power supply voltage stabilization, noise countermeasures, etc.) as a high-speed CMOS LSI is necessary.
Figure 18-2 Socket Adapter Pin Assignments
560
Address in MCU mode H'00000
Address in PROM mode H'00000
On-chip PROM
H'1FFFF
H'1FFFF
Figure 18-3 H8/3048 Memory Map in PROM Mode
561
18.3 PROM Programming
Table 18-4 indicates how to select the program, verify, and other modes in PROM mode. Table 18-4 Mode Selection in PROM Mode
Pins Mode Program Verify Program inhibited CE L L L L H H Legend L: Low voltage level H: High voltage level VPP: VPP voltage level VCC: VCC voltage level OE H L L H L H PGM L H L H L H VPP VPP VPP VPP VCC VCC VCC VCC EO7 to EO0 Data input Data output High impedance EA16 to EA0 Address input Address input Address input
Read/write specifications are the same as for the standard HN27C101 EPROM, except that page programming is not supported. Do not select page programming mode. A PROM programmer that supports only page-programming mode cannot be used. When selecting a PROM programmer, check that it supports a byte-at-a-time high-speed programming mode. Be sure to set the address range to H'00000 to H'1FFFF. 18.3.1 Programming and Verification An efficient, high-speed programming procedure can be used to program and verify PROM data. This procedure programs the chip quickly without subjecting it to voltage stress and without sacrificing data reliability. Unused address areas contain H'FF data. Figure 18-4 shows the basic high-speed programming flowchart. Tables 18-5 and 18-6 list the electrical characteristics of the chip during programming. Figure 18-5 shows a timing chart.
562
Start
V
CC=
Set programming/verification mode 6.0 V 0.25 V, V PP = 12.5 V 0.3 V Address = 0
n=0 n + 1 n No Yes n < 25 Program with t PW = 0.2 ms 5% No Verification OK? Yes Program with t OPW = 0.2n ms No
Address + 1 address
Last address? Yes
Set read mode V CC = 5.0 V 0.25 V, VPP = V CC No Fail
All addresses read? Yes End
Figure 18-4 High-Speed Programming Flowchart
563
Table 18-5 DC Characteristics (Conditions: VCC = 6.0 V 0.25 V, VPP = 12.5 V 0.3 V, VSS = 0 V, Ta = 25C 5C)
Item Input high voltage Input low voltage Output high voltage Output low voltage Input leakage current VCC current VPP current EO7 to EO0, EA16 to EA0, OE, CE, PGM EO7 to EO0, EA16 to EA0, OE, CE, PGM EO7 to EO0 EO7 to EO0 EO7 to EO0, EA16 to EA0, OE, CE, PGM Symbol VIH Min 2.4 Typ -- Max VCC + 0.3 Unit V Test Conditions
VIL
-0.3 --
0.8
V
VOH VOL |ILI|
2.4 -- --
-- -- --
-- 0.45 2
V V A
IOH = -200 A IOL = 1.6 mA Vin = 5.25 V/0.5 V
ICC IPP
-- --
-- --
40 40
mA mA
564
Table 18-6 AC Characteristics (Conditions: VCC = 6.0 V 0.25 V, VPP = 12.5 V 0.3 V, Ta = 25C 5C)
Item Address setup time OE setup time Data setup time Address hold time Data hold time Data output disable time VPP setup time Programming pulse width PGM pulse width for overwrite programming VCC setup time CE setup time Data output delay time Symbol tAS tOES tDS tAH tDH tDF*2 tVPS tPW tOPW*3 tVCS tCES tOE Min 2 2 2 0 2 -- 2 0.19 0.19 2 2 0 Typ -- -- -- -- -- -- -- 0.20 -- -- -- -- Max -- -- -- -- -- 130 -- 0.21 5.25 -- -- 150 Unit s s s s s ns s ms ms s s ns Test Conditions Figure 18-5*1
Notes: 1. Input pulse level: 0.8 V to 2.2 V Input rise time and fall time 20 ns Timing reference levels: 1.0 V and 2.0 V for input; 0.8 V and 2.0 V for output 2. tDF is defined at the point where the output is in the open state and the output level cannot be read. 3. tOPW is defined by the value given in the flowchart.
565
Program Address tAS Data tDS VPP VPP VCC VCC+1 VCC tVCS tVPS Input data tDH
Verify
tAH Output data tDF
VCC
CE tCES PGM tPW OE tOPW* tOES tOE
Note: * t OPW is defined by the value given in the flowchart.
Figure 18-5 PROM Program/Verify Timing
566
18.3.2 Programming Precautions * Program with the specified voltages and timing. The programming voltage (VPP) in PROM mode is 12.5 V. Applied voltages in excess of the rated values can permanently destroy the chip. Be particularly careful about the PROM programmer's overshoot characteristics. If the PROM programmer is set to Hitachi HN27C101 specifications, VPP will be 12.5 V. * Before programming, check that the chip is correctly mounted in the PROM programmer. Overcurrent damage to the chip can result if the index marks on the PROM programmer, socket adapter, and chip are not correctly aligned. Don't touch the socket adapter or chip while programming. Touching either of these can cause contact faults and write errors. Select the programming mode carefully. The chip cannot be programmed in page programming mode. The H8/3048 PROM size is 128 kbytes. Set the address range to H'00000 to H'1FFFF.
*
*
*
567
18.3.3 Reliability of Programmed Data A highly effective way to improve data retention characteristics is to bake the programmed chips at 150C, then screen them for data errors. This procedure quickly eliminates chips with PROM memory cells prone to early failure. Figure 18-6 shows the recommended screening procedure.
Program chip and verify programmed data
Bake chip for 24 to 48 hours at 125C to 150C with power off
Read and check program
Install
Figure 18-6 Recommended Screening Procedure If a series of programming errors occurs while the same PROM programmer is in use, stop programming and check the PROM programmer and socket adapter for defects. Please inform Hitachi of any abnormal conditions noted during or after programming or in screening of program data after high-temperature baking.
568
18.4 Flash Memory Overview
18.4.1 Flash Memory Operation Table 18-7 illustrates the principle of operation of the H8/3048F's on-chip flash memory. Like EPROM, flash memory is programmed by applying a high gate-to-drain voltage that draws hot electrons generated in the vicinity of the drain into a floating gate. The threshold voltage of a programmed memory cell is therefore higher than that of an erased cell. Cells are erased by grounding the gate and applying a high voltage to the source, causing the electrons stored in the floating gate to tunnel out. After erasure, the threshold voltage drops. A memory cell is read like an EPROM cell, by driving the gate to the high level and detecting the drain current, which depends on the threshold voltage. Erasing must be done carefully, because if a memory cell is overerased, its threshold voltage may become negative, causing the cell to operate incorrectly. Section 18.7.6, Erasing Flowchart and Sample Program shows an optimal erase control flowchart and sample program. Table 18-7 Principle of Memory Cell Operation
Program Memory cell
Vg = VPP Vd
Erase
Vg = VPP Vd
Read
Vg = VPP Vd
Vd
0V
Memory array
Vg = VPP Vd
Vg = VPP Vd
Vg = VPP Vd
Vd
0V
Vd
0V
Vd
0V
569
18.4.2 Mode Programming and Flash Memory Address Space As its on-chip ROM, the H8/3048F has 128 kbytes of flash memory. The flash memory is connected to the CPU by a 16-bit data bus. The CPU accesses both byte data and word data in two states. The flash memory is assigned to addresses H'00000 to H'1FFFF on the memory map. The mode pins enable either on-chip flash memory or external memory to be selected for this area. Table 18-8 summarizes the mode pin settings and usage of the flash memory area. Table 18-8 Mode Pin Settings and Flash Memory Area
Mode Pin Setting Mode Mode 0 Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Mode 7 MD2 0 0 0 0 1 1 1 1 MD1 0 0 1 1 0 0 1 1 MD0 0 1 0 1 0 1 0 1 Flash Memory Area Usage Illegal setting External memory area External memory area External memory area External memory area On-chip flash memory area On-chip flash memory area On-chip flash memory area
18.4.3 Features Features of the flash memory are listed below. * Five flash memory operating modes The flash memory has five operating modes: program mode, program-verify mode, erase mode, erase-verify mode, and prewrite-verify mode. * Block erase designation Blocks to be erased in the flash memory address space can be selected by bit settings. The address space includes a large-block area (eight blocks with sizes from 12 kbytes to 16 kbytes) and a small-block area (eight 512-byte blocks). * Program and erase time Programming one byte of flash memory typically takes 50 s. Erasing all blocks (128 kbytes) typically takes 1 s.
570
*
Erase-program cycles Flash memory contents can be erased and reprogrammed up to 100 times.
*
On-board programming modes These modes can be used to program, erase, and verify flash memory contents. There are two modes: boot mode, and user programming mode.
*
Automatic bit-rate alignment In boot-mode data transfer, the H8/3048F aligns its bit rate automatically to the host bit rate (9600 bps, 4800 bps and 2400 bps).
*
Flash memory emulation by RAM Part of the RAM area can be overlapped onto flash memory, to emulate flash memory updates in real time.
*
PROM mode As an alternative to on-board programming, the flash memory can be programmed and erased in PROM mode, using a general-purpose PROM programmer.
*
Protect modes Flash memory can be program-, erase-, and/or verify-protected in hardware and software protect modes.
571
18.4.4 Block Diagram Figure 18-7 shows a block diagram of the flash memory.
8 Internal data bus (upper)
8 Internal data bus (lower) Operating mode MD2 MD1 MD0
FLMCR EBR1 EBR2
Bus interface and control section H'00000 H'00001 H'00002 H'00003 H'00004 H'00005 On-chip flash memory (128 kbytes) H'1FFFC H'1FFFD H'1FFFE H'1FFFF Upper byte (even address) Lower byte (odd address)
Legend FLMCR: Flash memory control register EBR1: Erase block register 1 EBR2: Erase block register 2
Figure 18-7 Flash Memory Block Diagram
572
18.4.5 Input/Output Pins Flash memory is controlled by the pins listed in table 18-9. Table 18-9 Flash Memory Pins
Pin Name Programming power Mode 2 Mode 1 Mode 0 Transmit data Receive data Abbreviation VPP MD2 MD1 MD0 TXD1 RXD1 Input/Output Power supply Input Input Input Output Input Function Apply 12.0 V H8/3048F operating mode programming H8/3048F operating mode programming H8/3048F operating mode programming Serial transmit data output Serial receive data input
The transmit data and receive data pins are used in boot mode. 18.4.6 Register Configuration The flash memory is controlled by the registers listed in table 18-10. Table 18-10 Flash Memory Registers
Address H'FF40 H'FF42 H'FF43 H'FF48 Name Flash memory control register Erase block register 1 Erase block register 2 RAM control register Abbreviation FLMCR EBR1 EBR2 RAMCR R/W R/W*2 R/W*2 R/W*2 R/W Initial Value H'00*1 H'00*1 H'00*1 H'70
Notes: 1. The initial value is H'00 in modes 5, 6, and 7 (on-chip flash memory enabled). 2. In modes 1, 2, 3, and 4 (on-chip flash memory disabled), this register cannot be modified and is always read as H'FF.
573
18.5 Flash Memory Register Descriptions
18.5.1 Flash Memory Control Register The flash memory control register (FLMCR) is an eight-bit register that controls the flash memory operating modes. Transitions to program mode, erase mode, program-verify mode, and eraseverify mode are made by setting bits in this register. FLMCR is initialized to H'00 by a reset, in the standby modes, and when 12 V is not applied to VPP. When 12 V is applied to VPP, a reset or entry to a standby mode initializes FLMCR to H'80.
Bit 7 VPP Initial value* R/W 0 R 6
VPP E
5 -- 0 --
4 -- 0 --
3 EV 0 R/W*
2 PV 0 R/W*
1 E 0 R/W *
0 P 0 R/W *
0
R/W
Program mode Designates transition to or exit from program mode
Erase mode Designates transition to or exit from erase mode Program-verify mode Designates transition to or exit from program-verify mode Erase-verify mode Designates transition to or exit from erase-verify mode Reserved bits
VPP enable Disables or enables 12-V application to VPP pin
Programming power Status flag indicating the power to VPP
Note: * The initial value is H'00 in modes 5, 6, and 7 (on-chip flash memory enabled). In modes 1, 2, 3, and 4 (on-chip flash memory disabled), this register cannot be modified and is always read as H'FF. 574
Bit 7--Programming Power (VPP): Programming power bit (VPP) detects VPP, and level is displayed as "1" or "0." The permissible output currents for impressed high voltage VH are given in 21.3.1, "DC Characteristics." The value of VH ranges from VCC + 2 V to 11.4 V. If a voltage in excess of VH is applied, "1" is displayed; otherwise "0" is displayed. This bit restricts the hardware protect functions during write and erase operations for the flash memory. For details on hardware protect, see 18.7.8, "Protect Modes." For notes on VPP usage, see 10.10, "Flash Memory Programming and Erasing Precautions."
Bit 7 VPP 0 Description [Clear conditions] This is the regular operational mode when a voltage exceeding VH is not applied to the VPP pin. The flash memory cannot be written or erased. "Hardware Protect" is displayed. [Set conditions] This is the operational mode when a voltage exceeding VH is applied to the VPP pin. The flash memory can be written and erased. "Hardware Protect Disabled" is displayed*. (Initial value)
1
Note: For correct write and erase functions, the setting should be VPP = 12.0 V to 0.6 V (11.4 V to 12.6 V).
Bit 6--VPP Enable (VPPE): Disables or enables 12-V application to the VPP pin. After this bit is set, it is necessary to wait for at least 5 s for the internal power supply to stabilize; programming and erasing cannot be performed until stabilization is complete. After this bit is cleared, it is necessary to wait for the flash memory read setup time (tFRS) in order to read flash memory.
Bit 6 VPPE 0 1 Description VPP pin 12-V power supply is disabled VPP pin 12-V supply is enabled (Initial value)
Note: The power supply system used for the flash memory is switched by means of the VppE bit. After switching, operation is not guaranteed during the period before the power supply system stabilizes. It is therefore prohibited to fetch from flash memory and execute an instruction that sets or resets the VppE bit.
575
Bits 5 to 4--Reserved: Read-only bits, always read as 0. Bit 3--Erase-Verify Mode (EV)*1: Selects transition to or exit from erase-verify mode.
Bit 3 EV 0 1 Description Exit from erase-verify mode Transition to erase-verify mode (Initial value)
Bit 2--Erase-Verify Mode (PV)*1: Selects transition to or exit from program-verify mode.
Bit 2 PV 0 1 Description Exit from program-verify mode Transition to program-verify mode (Initial value)
Bit 1--Erase Mode (E)*1, *2: Selects transition to or exit from erase mode.
Bit 1 E 0 1 Description Exit from erase mode Transition to erase mode (Initial value)
Bit 0--Program Mode (P)*1, *2: Selects transition to or exit from program mode.
Bit 0 P 0 1 Description Exit from program mode Transition to program mode (Initial value)
Notes: 1. Do not set two or more of these bits simultaneously. Do not turn off power supply (VCC-VPP) while a bit is set. 2. For each bit setting procedure, follow the algorithm described in section 18.7, Programming and Erasing Flash Memory. For the notes on programming and erasing, refer to section 18.10, Flash Memory Programming and Erasing Precautions. Particularly, be sure to set the watchdog timer beforehand to prevent program runaway, when the E or P bit is set.
576
18.5.2 Erase Block Register 1 Erase block register 1 (EBR1) is an eight-bit register that designates large flash-memory blocks for programming and erasure. EBR1 is initialized to H'00 by a reset, in the standby modes, when 12 V is applied to VPP while the VPPE bit is 0, and when 12 V is not applied to VPP. When a bit in EBR1 is set to 1, the corresponding block is selected and can be programmed and erased. Figure 18-8 shows a block map.
Bit 7 LB7 Initial value* R/W 0 R/W * 6 LB6 0 R/W * 5 LB5 0 R/W * 4 LB4 0 R/W * 3 LB3 0 R/W* 2 LB2 0 R/W* 1 LB1 0 R/W * 0 LB0 0 R/W *
Note: * The initial value is H'00 in modes 5, 6, and 7 (on-chip flash memory enabled). In modes 1, 2, 3, and 4 (on-chip flash memory disabled), this register cannot be modified and is always read as H'FF.
Bits 7 to 0--Large Block 7 to 0 (LB7 to LB0): These bits select large blocks (LB7 to LB0) to be programmed and erased.
Bits 7 to 0 LB7 to LB0 0 1 Description Block LB7 to LB0 is not selected Block LB7 to LB0 is selected (Initial value)
577
18.5.3 Erase Block Register 2 Erase block register 2 (EBR2) is an eight-bit register that designates small flash-memory blocks for programming and erasure. EBR2 is initialized to H'00 by a reset, in the standby modes, when 12 V is applied to VPP while the VPPE bit is 0, and when 12 V is not applied to VPP. When a bit in EBR2 is set to 1, the corresponding block is selected and can be programmed and erased. Figure 18-8 shows a block map.
Bit 7 SB7 Initial value* R/W 0 R/W* 6 SB6 0 R/W * 5 SB5 0 R/W * 4 SB4 0 R/W * 3 SB3 0 R/W* 2 SB2 0 R/W* 1 SB1 0 R/W * 0 SB0 0 R/W *
Note: * The initial value is H'00 in modes 5, 6, and 7 (on-chip flash memory enabled). In modes 1, 2, 3, and 4 (on-chip flash memory disabled), this register cannot be modified and is always read as H'FF.
Bits 7 to 0--Small Block 7 to 0 (SB7 to SB0): These bits select small blocks (SB7 to SB0) to be programmed and erased.
Bits 7 to 0 SB7 to SB0 0 1 Description Block SB7 to SB0 is not selected Block SB7 to SB0 is selected (Initial value)
578
Bit LB0 LB1 LB2 LB3 LB4 LB5 LB6 LB7 SB0 SB1 SB2 SB3 SB4 SB5 SB6 SB7
Addresses H'00000-H'03FFF H'04000-H'07FFF H'08000-H'0BFFF H'0C000-H'0FFFF H'10000-H'13FFF H'14000-H'17FFF H'18000-H'1BFFF H'1C000-H'1EFFF H'1F000-H'1F1FF H'1F200-H'1F3FF H'1F400-H'1F5FF H'1F600-H'1F7FF H'1F800-H'1F9FF H'1FA00-H'1FBFF H'1FC00-H'1FDFF H'1FE00-H'1FFFF Small block area (4 kbytes) Large block area (124 kbytes) H'00000 H'03FFF H'04000 H'07FFF H'08000 H'0BFFF H'0C000 H'0FFFF H'10000 H'13FFF H'14000 H'17FFF H'18000 H'1BFFF H'1C000 H'1EFFF H'1F000 H'1F1FF H'1F200 H'1F3FF H'1F400 H'1F5FF H'1F600 H'1F7FF H'1F800 H'1F9FF H'1FA00 H'1FBFF H'1FC00 H'1FDFF H'1FE00 H'1FFFF 16 kbytes 16 kbytes 16 kbytes 16 kbytes 16 kbytes 16 kbytes 16 kbytes 12 kbytes 512 bytes 512 bytes 512 bytes 512 bytes 512 bytes 512 bytes 512 bytes 512 bytes
Figure 18-8 Erase Block Map
579
18.5.4 RAM Control Register (RAMCR) The RAM control register (RAMCR) enables flash-memory updates to be emulated in RAM, and indicates flash memory errors.
Bit 7 FLER Initial value R/W 0
R
6 -- 1
--
5 -- 1 --
4 -- 1 --
3 RAMS 0 R/W
2 RAM2 0 R/W
1 RAM1 0 R/W
0 RAM0 0 R/W
Bit 7--Flash Memory Error (FLER): Indicates that an error occurred while flash memory was being programmed or erased. When bit 7 is set, flash memory is placed in an error-protect mode.*1
Bit 7 FLER 0 Description Flash memory is not write/erase-protected (is not in error protect mode*1) [Clearing conditions] Reset or hardware standby mode 1 Indicates that an error occurred while flash memory was being programmed or erased, and error protection*1 is in effect [Setting conditions] Flash memory was read*2 while being programmed or erased (including vector or instruction fetch, but not including reading of a RAM area overlapped onto flash memory). A hardware exception-handling sequence (other than a reset, trace exception, invalid instruction, trap instruction, or zero-divide exception) was executed just before programming or erasing. The SLEEP instruction (for transition to sleep mode or software standby mode) was executed during programming or erasing. A bus was released during programming or erasing. Notes: 1. For details, see section 18.7.8, Protect Modes. 2. The read data has undetermined values. (Initial value)
580
Bits 6 to 4--Reserved: Read-only bits, always read as 1. Bit 3--RAM Select (RAMS): Is used with bits 2 to 0 to reassign an area to RAM (see table 1811). When bit 3 is set, all flash-memory blocks are protected from programming and erasing, regardless of the values of bits 2 to 0. It is initialized by a reset and in hardware standby mode. It is not initialized in software standby mode. Bits 2 to 0--RAM2 to RAM0: These bits are used with bit 3 to reassign an area to RAM (see table 18-11). They are initialized by a reset and in hardware standby mode. They are not initialized in software standby mode. Table 18-11 RAM Area Reassignment
Bit 3 RAM Area H'FFF000 to H'FFF1FF H'01F000 to H'01F1FF H'01F200 to H'01F3FF H'01F400 to H'01F5FF H'01F600 to H'01F7FF H'01F800 to H'01F9FF H'01FA00 to H'01FBFF H'01FC00 to H'01FDFF H'01FE00 to H'01FFFF RAMS 0 1 1 1 1 1 1 1 1 Bit 2 RAM2 0/1 0 0 0 0 1 1 1 1 Bit 1 RAM1 0/1 0 0 1 1 0 0 1 1 Bit 0 RAM0 0/1 0 1 0 1 0 1 0 1
581
18.6 On-Board Programming Modes
When an on-board programming mode is selected, the on-chip flash memory can be programmed, erased, and verified. There are two on-board programming modes: boot mode, and user program mode. These modes are selected by inputs at the mode pins (MD2 to MD0) and VPP pin. Table 1812 indicates how to select the on-board programming modes. For information about turning VPP on and off, see note (4) in section 18.10, Flash Memory Programming and Erasing Precautions. Table 18-12 On-Board Programming Mode Selection
Mode Selections Boot mode Mode 5 Mode 6 Mode 7 User program mode Mode 5 Mode 6 Mode 7 VPP 12 V MD2 12 V 12 V 12 V 1 1 1 MD1 0 1 1 0 1 1 MD0 1 0 1 1 0 1 Notes 0: VIL 1: VIH
18.6.1 Boot Mode To use boot mode, a user program for programming and erasing the flash memory must be provided in advance on the host machine (which may be a personal computer). Serial communication interface 1 (SCI1) is used in asynchronous mode (see figure 18-9). If the H8/3048F is placed in boot mode, after it comes out of reset, a built-in boot program is activated. This program starts by measuring the low period of data transmitted from the host and setting the bit rate register (BRR) accordingly. The H8/3048F's built-in serial communication interface (SCI) can then be used to download the user program from the host machine. The user program is stored in on-chip RAM. After the program has been stored, execution branches to address H'FF300 in modes 5 and 6 and H'FFF300 in mode 7 in the on-chip RAM, and the program stored on RAM is executed to program and erase the flash memory. Figure 18-10 shows the boot-mode execution procedure.
H8/3048F
Receive data to be programmed HOST Transmit verification data
RXD1 SCI1 TXD1
Figure 18-9 Boot-Mode System Configuration
582
Boot-Mode Execution Procedure: Figure 18-10 shows the boot-mode execution procedure.
Start 1 2 Program H8/3048F pins for boot mode, and resets. Host transmits H'00 data continuously at desired bit rate. H8/3048F measures H'00 low period for data transmitted from the host. H8/3048F computes the bit rate, then sets the value in the bit rate register. 4 After completing bit rate adjustment, H8/3048F transmits one H'00 byte to the host to indicate completion. The host confirms that bit rate adjustment was completed successfully, then transmits one H'55 byte. After receiving the H'55 byte, H8/3048F branches to boot program area in RAM. H8/3048F branches to RAM boot area H'FFF300 to H'FFFEFF, then checks the flash memory user area data. 7 No
1. Program the H8/3048F pins for boot mode, and start the H8/3048F from a reset. 2. Set the host's data format to 8 bits + 1 stop bit, select the desired bit rate (2400, 4800 or 9600), and transmit H'00 data continuously. 3. H8/3048F measures the duration of repeat when the RDX pin is "Low," then computes the bit rate of the serial transmission from the host. 4. After H8/3048F completes SCI bit rate adjustment, one byte of H'00 data is transmitted to indicate completion. 5. On receiving one byte from H8/3048F to indicate completion of bit rate adjustment, the host confirms regular reception then transmits one byte of H'55. H8/3048F transmits H'AA to indicate regular reception. 6. After H8/3048F receives H'55, it branches to boot program area H'FFF300 to H'FFFEFF. 7. When H8/3048F branches to boot program area H'FFF300 to H'FFFEFF, it confirms that data written to the flash memory is saved. If data is already written, all blocks are erased. 8. H8/3048F transmits one byte of H'AA. Then the host transmits the byte length of the user program downloaded to H8/3048F. The byte length must be sent as two-byte data, most significant byte first and least significant byte second. Then user-specified programs should be transmitted in order. The byte length received by H8/3048F or the user program is verified, and one byte each is transmitted in order to the host (echo back). 9. H8/3048F writes the received user program to area H'FFF300 to H'FFFEFF on the internal RAM. 10. H8/3048F branches to the internal RAM FFF300, and the written user program is executed. Notes: 1. The user can use 3072 bytes of RAM. The number of bytes transferred must not exceed 3072 bytes. Be sure to transmit the byte length in two bytes, most significant byte first and least significant byte second. For example, if the byte length of the program to be transferred is 256 bytes, (H'0100), transmit H'01 as the most significant byte, followed by H'00 as the least significant byte. 2. The part of the user program that controls the flash memory should be coded according to the flash memory program/erase algorithms given later. 3. If a memory cell malfunctions and cannot be erased, the H8/3048F transmits one H'FF byte to report an erase error, halts erasing, and halts further operations. 4. The allotted boot program area is H'FFF300 to H'FFFEFF.
3
5
6
Does all data = H'FF? *4 Yes
Erase all blocks of the flash memory.
H8/3048F confirms that all blocks of the flash memory are in H'FF, then transmits one H'AA byte to the host. 8 H8/3048F receives two bytes of the program byte number (N) downloaded to the internal RAM. *1
H8/3048F downloads user program to RAM. *2 9 H8/3048F computes the length of bytes downloaded (N = N-1). No
Is the number of bytes N = 0? Yes 10
H8/3048F branches to RAM area H'F7E0, and user program downloaded to the RAM is executed.
Figure 18-10 Boot Mode Flowchart
583
Automatic Alignment of SCI Bit Rate
Start bit
D0
D1
D2
D3
D4
D5
D6
D7
Stop bit
This low period (9 bits) is measured (H'00 data) High for at least 1 bit
Figure 18-11 Measurement of Low Period in Data Transmitted from Host When started in boot mode, the H8/3048F measures the low period in asynchronous SCI data transmitted from the host (figure 18-11). The data format is eight data bits, one stop bit, and no parity bit. From the measured low period (nine bits), the H8/3048F computes the host's transmission bit rate. After aligning its own bit rate, the H8/3048F sends the host one byte of H'00 data to indicate that bit-rate alignment is completed. The host should check that this alignmentcompleted indication is received normally, then transmit one H'55 byte. If the host does not receive a normal alignment-completed indication, the H8/3048F should be reset, then restarted in boot mode to measure the low period again. There may be some alignment error between the host's and H8/3048F's bit rates, depending on the host's bit rate and the H8/3048F's system clock frequency. To have the SCI operate normally, set the host's bit rate to a value 2400, 4800 or 9600 bps*1. Table 18-13 lists typical host bit rates and indicates the clock-frequency ranges over which the H8/3048F can align its bit rate automatically. Boot mode should be used within these frequency ranges.*2 Table 18-13 System Clock Frequencies Permitting Automatic Bit-Rate Alignment by H8/3048F
Host Bit Rate*1 9600 bps 4800 bps 2400 bps System Clock Frequencies Permitting Automatic Bit-Rate Alignment by H8/3048F 8 MHz to 16 MHz 4 MHz to 16 MHz 2 MHz to 16 MHz
Notes: 1. Host bit rate settings are 2400, 4800, and 9600 bps; no other settings should be used. 2. Although the H8/3048F may perform automatic bit-rate alignment with combinations of bit rate and system clock other than those shown in table 18-13, there may be a discrepancy between the bit rates of the host and the H8/3048F, preventing subsequent transfer from being performed normally. Boot mode execution should therefore be confined to the range of combinations shown in table 18-13.
584
RAM Area Allocation in Boot Mode: In boot mode, the H'3F0 bytes from H'FEF10 to H'FF2FF in modes 5 and 7, and from H'FFEF10 to H'FFF2FF in mode 6 are reserved for use by the boot program. The user program is transferred into the area from H'FF300 to H'FFEFF, in modes 5 and 7, and from H'FFF300 to H'FFFEFF in mode 6 (H'C00 bytes). The boot program area is used during the transition to execution of the user program transferred into RAM.
H'FEF10
H'FFEF10 Boot program area*1 Boot program area*1
H'FF300
User program transfer area (H'C00 bytes)
H'FFF300
User program transfer area (H'C00 bytes)
H'FFEFF H'FFF00 H'FFF0F
Reserved*2
H'FFFEFF H'FFFF00 H'FFFF0F
Reserved*2
Modes 5 and 7
Mode 6
Notes:
1. This area is unavailable until the user program transferred into RAM enters execution state (branch to H'FF300 in modes 5 and 7, and H'FFF300 in mode 6). After branching to the user program area, the boot program is retained in the boot program area (H'FEF10 to H'FF2FF in modes 5 and 7, and H'FFEF10 to H'FFF2FF in mode 6). 2. Do not use reversed areas.
Figure 18-12 RAM Areas in Boot Mode Notes on Use of Boot Mode 1. When the H8/3048F comes out of reset in boot mode, it measures the low period of the input at the SCI1's RXD1 pin. The reset should end with RXD1 high. After the reset ends, it takes about 100 states for the H8/3048F to get ready to measure the low period of the RXD1 input. In boot mode, if any data has been programmed into the flash memory (if all data are not H'FF), all flash memory blocks are erased. Boot mode is for use when user program mode is unavailable, e.g. the first time on-board programming is performed, or if the update program activated in user program mode is accidentally erased. Interrupts cannot be used while the flash memory is being programmed or erased.
2.
3.
585
4. 5.
The RXD1 and TXD1 lines should be pulled up on-board. Before branching to the user program (at address H'F300 in the RAM area), the H8/3048F terminates transmit and receive operations by the on-chip SCI (channel 1) (by clearing the RE and TE bits in serial control register (SCR) to 0 in channel 1), but the auto-aligned bit rate remains set in bit rate register BRR1. The transmit data pin (TXD1) is in the high output state (in port 9, the P91DDR bit in port 9 data direction register P9DDR and P91DR bit in port 9 data register are set to 1). When the branch to the user program occurs, the contents of general registers in the CPU are undetermined. After the branch, the user program should begin by initializing general registers, especially the stack pointer (SP), which is used implicitly in subroutine calls and at other times. The stack pointer must be set to provide a stack area for use by the user program. The other on-chip registers do not have specific initialization requirements.
6.
Transition to boot mode are shown in Figure 18-12, "RAM Areas in Boot Mode." This is possible after applying 12 V to pins MD2 and VPP and restarting. In this case, H8/3048F reset is erased (startup with Low High) timing*1, mode pin status latches the personal computer internally to maintain boot mode. Boot mode can be erased if the 12 V applied to the MD2 pin and the VPP pin is erased, then reset is erased*1. However, please note the following. * When transferring from boot mode to regular mode (VPP 12 V, MD2 12 V), before transfer the erase must be carried out by the reset input personal computer internal boot mode RES pin. After VPP interrupt, erase reset. The time needed until reset vector lead is flash memory read setup (tFRS) *2. While in boot mode, if the 12 V applied to the MD2 pin is erased, as long as reset input from the RES pin does not occur, the personal computer internal boot mode status will be maintained and boot mode will continue. In boot mode, if watchdog timer reset occur, the personal computer internal boot mode is not erased, and despite mode pin status the internal boot program restarts. When transferring to boot mode (reset erase timing) or during boot mode operation, program voltage VPP should be within the range 12 V to 0.6 V. If this range is exceeded, boot mode will not operate correctly. In addition, during boot program operation or writing and erasing the flash memory, do not interrupt VPP*2.
*
*
7.
During reset (when RES pin input is Low), if MD2 pin input changes from 0 V to 12 V or vice versa, by instantaneous transfer to 5 V input, the personal computer switches to operation mode. As a result, the address port or bus control output signal (AS, RD, HWR, LWR) status changes, so do not these pins as output signals during reset, as the personal computer internal section needs to be shut down.
586
8.
Regarding 12 V application to the VPP and MD2 pins, insure that peak overshoot does not exceed the maximum rating of 13 V. Also, be sure to connect bypass capacitors to the Vpp and MD2 pins*1.
Notes: 1. Mode pin input must satisfy the mode programming setup time (tMDS) with respect to the reset release timing. When 12 V is applied to or disconnected from the MD2 pin, a delay occurs in the fall and rise waveforms due to the influence of the pull-up/pulldown resistor connected to the MD2 pin, etc. For reset release timing, therefore, this delay must be confirmed with the actual waveform on the board. 2. For notes on applying and cutting VPP, refer to 18.10, section (4) of "Programming and Erasing Flash Memory."
18.6.2 User Program Mode When set to user program mode, the H8/3048F can erase and program its flash memory by executing a user program. On-board updates of the on-chip flash memory can be carried out by providing on-board circuits for supplying VPP and data, and storing an update program in part of the program area. To select user program mode, select a mode that enables the on-chip ROM (mode 5, 6, or 7) and apply 12 V to the VPP pin. In this mode, the on-chip peripheral modules operate as they normally would in mode 5, 6, or 7, except for the flash memory. A watchdog timer overflow, however, cannot output a reset signal while 12 V is applied to VPP. The watchdog timer's reset output enable bit (RSTOE) should not be set to 1.
587
The flash memory cannot be read while being programmed or erased, so the update program must either be stored in external memory, or transferred temporarily to the RAM area and executed in RAM. User Program Mode Execution Procedure: Figure 18-13 shows the procedure for user program mode execution in RAM.
Procedure
1 Store user application programs
Set MD2 to MD0 to 101, 110, or 111 Apply 0 to 5 V to MD2 2 VPP = 12 V (user program mode)
1. The user stores application programs in flash memory. One of these is an onboard update program that will execute steps 3 to 5 below. 2. Pin inputs are set up for user program mode. 3. A reset starts the CPU, which transfers the on-board update program into RAM. 4. Following a branch to the program in RAM, the on-board update program is executed. VPPE bit in FLMCR is set to update flash memory. Wait 5 to 10s to stabilize internal power supply. Update program is executed.
3
Transfer on-board update program into RAM
Execute on-board update program in RAM
Set VPPE bit 4 Wait 5 to 10 s
5. After the on-board update ends, clear the VPPE bit then a branch is made to the updated user application program and this program is executed. After clearing the VPPE bit, before the flash memory program executes, flash memory read setup time (tPRS) is needed.
Update flash memory
5
Execute user application program
Note:
To prevent microcontroller errors caused by accidental programming or erasing, apply 12 V to VPP only when the flash memory is programmed or erased, or when flash memory is emulated by RAM; do not apply 12 V to the VPP pin during normal operation. While 12 V is applied, the watchdog timer should be running and enabled to halt runaway program execution, so that program runaway will not lead to overprogramming or overerasing. For further information about turning VPP on and off, see section 18-10, Flash Memory Programming and Erasing Precautions.
Figure 18-13 User Program Mode Operation (Example)
588
18.7 Programming and Erasing Flash Memory
The H8/3048F's on-chip flash memory is programmed and erased by software, using the CPU. The flash memory operating modes and state transition diagram are shown in figure 18-14. Program/erase modes comprise program mode, erase mode, program-verify mode, erase-verify mode, and prewrite-verify mode. Transitions to these modes can be made by setting the P, E, PV, and EV bits in the flash memory control register (FLMCR). Transition to the prewrite-verify mode can also be made by clearing all the bits in FLMCR. The flash memory cannot be read while being programmed or erased. The program that controls the programming and erasing of the flash memory must be stored and executed in on-chip RAM or in external memory. A description of each mode is given below, with recommended flowcharts and sample programs for programming and erasing. High-reliability programming and erasing algorithms are used, which double the programming or erase processing time for each step. Section 18.10, Flash Memory Programming and Erasing Precautions, gives further notes on programming and erasing.
Normal ROM access mode
VPP= 12 V and VPPE= 1 Prewrite-verify mode
VPPE= 0 VPP off
P= 1 P= 0 Program mode E= 1
E= 0 PV= 1
PV= 0 EV= 1
EV= 0
Flash memory program/erase operations
Erase mode
Program-verify mode
Erase-verify mode
Note: Do not perform simultaneous setting/clearing of the P, E, PV, and EV bits.
Figure 18-14 Flash Memory Program/Erase Operating Mode State Transition Diagram
589
18.7.1 Program Mode To write data into the flash memory, follow the programming algorithm shown in figure 18-15. This programming algorithm can write data without subjecting the device to voltage stress or impairing the reliability of programmed data. To program data, first set the VPPE bit in FLMCR, wait 5 to 10 s, then designate the blocks to be programmed by erase block registers 1 and 2 (EBR1, EBR2), and write the data to the address to be programmed, as in writing to RAM. The flash memory latches the address and data in an address latch and data latch. Next set the P bit in FLMCR, selecting program mode. The programming duration is the time during which the P bit is set. A software timer should be used to provide an initial programming duration of 15.8 s or less. Programming for too long a time, due to program runaway for example, can cause device damage. Before selecting program mode, set up the watchdog timer so as to prevent overprogramming. 18.7.2 Program-Verify Mode In program-verify mode, after data has been programmed in program mode, the data is read to check that it has been programmed correctly. After the programming time has elapsed, exit programming mode (clear the P bit to 0) and select program-verify mode (set the PV bit to 1). In program-verify mode, a program-verify voltage is applied to the memory cells at the latched address. If the flash memory is read in this state, the data at the latched address will be read. After selecting program-verify mode, wait 4 s before reading, then compare the programmed data with the verify data. If they agree, exit programverify mode and program the next address. If they do not agree, select program mode again and repeat the same program and program-verify sequence. Do not repeat the program and programverify sequence more than 6 times for the same bit. (When a bit is programmed repeatedly, set a loop counter so that the total programming time will not exceed 1 ms.)
590
18.7.3 Programming Flowchart and Sample Program Flowchart for Programming One Byte
Start n=1 Set VPP E bit (VPP E bit = 1 in FLMCR) Wait (z) s Set erase block register (set bit of block to be programmed to 1) Write data to flash memory (flash memory latches write address and data)*1 Wait initial value setting x = 15 s Enable watchdog timer*2 Select program mode (P bit = 1 in FLMCR) Wait (x) s Clear P bit Disable watchdog timer Select program-verify mode (PV bit = 1 in FLMCR) Wait (tVS1) s No good Clear PV bit n N? Clear erase block register (clear bit of programmed block to 0) Clear VPP E bit Yes Clear erase block register (clear bit of block to be programmed to 0) Verify ends No n+1n Double the programming time (x x 2 x) Programming ends
Notes: 1. Write the data to be programmed using a byte transfer instruction. 2. Set the watchdog timer overflow interval by setting CKS2 and CKS1 to 0 and CKS0 to 1. 3. Read to verify data from the memory using a byte transfer instruction. 4. tVS1: 4 s z: 5 to 10 s N: 6 (set N so that total programming time does not exceed 1 ms) 5. Programming time x, which is determined by the initial time x 2n-1 (n = 1 to 6), increases in proportion to n. Thus, set the initial time to 15.8 s or less to make total programming time 1 ms or less.
Verify (read memory)*3 OK Clear PV bit
End (1-byte data programmed) Clear VPP E bit Programming error
Figure 18-15 Programming Flowchart
591
Sample Program for Programming One Byte: This program uses the following registers. R0: Program-verify fail counter R1: Program-verify timing loop counter ER2: Stores the address to be programmed as long word data. Valid addresses are H'00000000 to H'0001FFFF. R3H: Stores data to be programmed as byte data R4: Sets and clears TCSR and FLMCR E4: Stores the initial program loop counter value R5: Clears FLMCR E5: Stores the program loop counter value Arbitrary data can be programmed at an arbitrary address by setting the address in ER2 and the data in R3H. The values of #a, #b, and #g depend on the clock frequency. They can be calculated as indicated under table 18-14. FLMCR: EBR1: EBR2: TCSR: PRGM: .EQU .EQU .EQU .EQU MOV.W MOV.W MOV.W MOV.B DEC.W BPL MOV.B MOV.B MOV.B MOV.W MOV.W MOV.W MOV:W MOV.W MOV.B DEC.W BPL MOV.B MOV.W MOV.W MOV:W MOV.B MOV.B DEC.W BPL MOV.B FFFF40 FFFF42 FFFF43 FFFFA8 #0001, #g, #4140, R4L, #1, LOOP0 #**, R0H, R3H, #a, #A579, R4, E4, #4140, R4H, #1, LOOP1 R4L, #A500, R4, #b , #44, R4H, #1, LOOP2 @ER2, R0 R1 R4 @FLMCR:8 R1 R0H @EBR*:8 @ER2 E4 R4 @TCSR:16 E5 R4 @FLMCR:8 E5 ; Program-verify fail count ; Set program loop counter ; ; Set VPPE bit ;
LOOP0:
PRGMS:
LOOP1:
; ; Set EBR* ; Dummy write ; Set initial program loop counter value ; Start watchdog timer ; ; Set program loop counter ; ; Set P bit ; Program ; @FLMCR:8 ; Clear P bit R4 ; @TCSR:16 ; Stop watchdog timer ; Set program-verify loop counter ; ; Set PV bit ; Wait ; ; Read programmed address
LOOP2:
R1 R4H @FLMCR:8 R1 R1H
592
PVNG:
CMP.B BEQ MOV.B MOV.B CMP.B BEQ
R3H, PVOK #40, R5H, #06, NGEND
; Compare programmed data with read data ; Program-verify decision R5H ; @FLMCR:8 ; Clear PV bit R0L ; Program-verify executed 6 times? ; If program-verify executed 6 times, branch R1H ; Program-verify fail count + 1 R0L ; Double program loop counter value ; Program again ; ; Clear PV bit ;Clear EBR* ;Clear VPPE bit . . . One byte programmed ; ;Clear EBR* ;Clear VPPE bit
to NGEND
INC.B R0L SHLL.W E4 BRA PRGMS PVOK: MOV.W #4000, MOV.B R5H, MOV.B R5L, MOV.B R5L, .......... NGEND: MOV.W #4000, MOV.B R5L, MOV.B R5L,
Programming error
R5 @FLMCR:8 @EBR*:8 @FLMCR:8 ..... R5 @EBR*:8 @FLMCR:8
18.7.4 Erase Mode To erase the flash memory, follow the erasing algorithm shown in figure 18-16. This erasing algorithm can erase data without subjecting the device to voltage stress or impairing the reliability of programmed data. To erase flash memory, before starting to erase, first place all memory data in all blocks to be erased in the programmed state (program all memory data to H'00). If all memory data is not in the programmed state, follow the sequence described later to program the memory data to zero. To select the flash memory areas to be erased, first set the VPPE bit in the flash memory control register (FLMCR), wait 5 to 10 s, and set up erase block registers 1 and 2 (EBR1 and EBR2). Next set the E bit in FLMCR, selecting erase mode. The erase time is the time during which the E bit is set. To prevent overerasing, use a software timer to divide the erase time. Overerasing, due to program runaway for example, can give memory cells a negative threshold voltage and cause them to operate incorrectly. Before selecting erase mode, set up the watchdog timer so as to prevent overerasing.
593
18.7.5 Erase-Verify Mode In program-verify mode, after data has been erased, it is read to check that it has been erased correctly. After the erase time has elapsed, exit erase mode (clear the E bit to 0), select eraseverify mode (set the EV bit to 1), and wait 4 s. Before reading data in erase-verify mode, write H'FF dummy data to the address to be read. This dummy write applies an erase-verify voltage to the memory cells at the latched address. If the flash memory is read in this state, the data at the latched address will be read. After the dummy write, wait 2 s before reading. If the read data has been successfully erased, perform the dummy write, wait 2 s, and erase-verify for the next address. If the read data has not been erased, select erase mode again and repeat the same erase and erase-verify sequence through the last address, until all memory data has been erased to 1. Do not repeat the erase and erase-verify sequence more than 602 times, however.
594
18.7.6 Erasing Flowchart and Sample Program Flowchart for Erasing One Block
Start Write 0 data in all addresses to be erased (prewrite)*1 n=1 Set VPP E bit ( VPP E bit = 1 in FLMCR) Wait (z) s Set erase block register (set bit of block to be erased to 1) Set top address in block as verify address Wait initial value setting x = 6.25 ms Enable watchdog timer*2 Select erase mode (E bit = 1 in FLMCR) Wait (x) ms Clear E bit Disable watchdog timer Select erase-verify mode (EV bit = 1) Wait (tVS1) s Erasing ends
Notes: 1. Program all addresses to be erased by following the prewrite flowchart. 2. Set the watchdog timer overflow interval to the value indicated in table 18-15. 3. For the erase-verify dummy write, write H'FF using a byte transfer instruction. 4. Read to verify data from the memory using a byte transfer instruction. 5. tVS1: 4 s z: 5 to 10 s tVS2: 2 s N: 602 6. The erase time x is successively incremented by the initial set value x 2n-1 (n = 1, 2, 3, 4). An initial value of 6.25 ms or less should be set, and the time for one erasure should be 50 ms or less.
Dummy write to verify address*3 (flash memory latches address) Wait (tVS2) s No good Clear EV bit Erase-verify ends No n+1n n 5? No Clear VPP E bit End of block erase Clear VPP E bit Erase error Double the erase time (x x 2 x) Yes
Verify (read memory)*4 OK No Address + 1 address Last address? Yes Clear EV bit Clear erase block register (clear bit of erased block to 0)
n N? Yes Clear erase block register (clear bit of block to be erased to 0)
Figure 18-16 Erasing Flowchart
595
Prewrite Flowchart
Start Address = top address Set VPP E bit ( VPP E bit = 1 in FLMCR) Wait (z) s Set erase block register (set bit of block to be erased to 1) n=1 Wait initial value setting x = 15 s Write H'00 to flash memory (flash memory latches write address and write data)*1 Enable watchdog timer*2 Select program mode (set P bit to 1 in FLMCR) Wait (x) s Clear P bit Disable watchdog timer Wait (tVS1) s
Address + 1 address
Notes: 1. Use a byte transfer instruction. 2. Set the watchdog timer overflow interval by setting CKS2 = 0, CKS1 = 0 and CKS0 = 0. 3. In prewrite-verify mode P, E, PV, and EV are all cleared to 0 and 12 V is applied to VPP. Use a byte transfer instruction. 4. tVS1: 4 s z: 5 to 10 s N: 6 (set N so that total programming time does not Programming ends exceed 1 ms)
Prewrite verify*3 (read data = H'00?)
No good n N? No n+1n Double the programming time (x x 2 x)
OK Yes Clear erase block register (clear bit of block to be erased to 0) Clear VPPE bit Programming error Last address? Yes Clear erase block register (clear bit of block to be erased to 0) Clear VPP E bit End of prewrite No
Figure 18-17 Prewrite Flowchart
596
Sample Program for Erasing One Block: This program uses the following registers. R0: ER1: ER2: ER3: ER4: R5: R6: Prewrite-verify and erase-verify fail counter Stores address used in prewrite Stores address used in prewrite and erase-verify Stores address used in erase-verify Timing loop counter Sets appropriate registers Sets appropriate registers
The values of #a, #c, #d, #e, #f, #g, and #h, in the program depend on the clock frequency. They can be calculated as indicated in tables 18-14 and 18-15. FLMCR: EBR1: EBR2: TCSR: .EQU .EQU .EQU .EQU FFFF40 FFFF42 FFFF43 FFFFA8
; #BLKSTR is top address of block to be erased ; #BLKEND is last address of block to be erased MOV.L #BLKSTR:32, ER1 MOV.L #BLKEND:32, ER2 ; Execute prewrite PREWRT: MOV.W #g, R4 MOV.W #4140, R6 MOV.B R6L, @FLMCR:8 LOOPR0: DEC.W #1, R4 BPL LOOPR0 ;SET EBR1 or EBR2 bit of block to be erased MOV.B #**, R5H MOV.B R5H, @EBR* PREWRN: SUB.B R0H, R0H MOV.W #a, E4 PREWRS: MOV.B #00, R5H MOV.B R5H, @ER1 MOV.W #A579, R5 MOV.W R5, @TCSR:16 MOV.W E4, R4 MOV.W #4140, R6 MOV.B R6H, @FLMCR:8 LOOPR1: DEC.W #1, R4 BPL LOOPR1 MOV.B R6L, @FLMCR:8 MOV.W #A500, R5 MOV.W R5, @TCSR:16 MOV.W #c , R5
; ER1: top address of block to be erased ; ER2: last address of block to be erased ; Set wait counter ; ; Set VPPE bit ; ; ; ; Set EBR* ; R0: prewrite-verify fail count ; Set initial prewrite loop counter value ; Write #00 data ; ; Start watchdog timer ; ; Set prewrite loop counter ; ; Set P bit ; Prewrite ; ; Clear P bit ; Stop watchdog timer ; ; Set prewrite-verify loop counter
597
LOOPR2: DEC.W BPL MOV.B BEQ CMP.B BEQ SHLL.W INC.B BRA PWVFOK: CMP.L BEQ INC.L BRA ;Execute erase ERASES: SUB.W MOV.L MOV.W ERASE: CMP.W BEQ INC.W MOV.W MOV.W MOV.W MOV.B MOV.B LOOPE: PUSH.L POP.L PUSH.L POP.L PUSH.L POP.L DEC.W BPL MOV.B MOV.B MOV.W MOV.W ; Execute erase-verify MOV.B MOV.B MOV.W LOOPEV: DEC.W BPL EVR2: MOV.B MOV.W
#1, LOOPR2 @ER1, PWVFOK #05, ABEND1 E4 R0H PREWRS ER2, ERASES #1, PREWRN
R5 R5H R0H
; Wait ; ; Read data = H'00? ; If read data = H'00, branch to PWVFOK ; Prewrite-verify executed 6 times? ; If prewrite-verify executed 6 times, branch
to ABEND1
; Double prewrite loop counter value ; Prewrite-verify fail count + 1 R0H ; Prewrite again ER1 ER1 ; Last address? ; ; Address + 1 R1 ; If not last address, prewrite next address ; R0: erase-verify fail count ; ER3: top address of block to be erased ; Set initial erase loop counter value ; R0 = H'025A? (erase-verify fail count = 603?) ; If R0 = H'025A, branch to ABEND2 ; Erase-verify fail count + 1 R0 ; ; Start watchdog timer ; ; Set E bit ;
R0, R0 #BLKSTR:32,ER3 #d, E4 #025A, R0 ABEND2 #1, R0 E4, R4 #f, R5 R5, @TCSR:16 #42, R5H R5H, @FLMCR:8 ER5 ER5 ER5 ER5 ER5 ER5 #1, R4 LOOPE #40, R5H R5H, @FLMCR:8 #A500, R5 R5, @TCSR:16 #48, R5H, #e , #1, LOOPEV #FF, #h, R5H @FLMCR:8 R4 R4 @ER3 R4
; Erase ; ; ; Clear E bit ; ; Stop watchdog timer ; ; Set EV bit ; R4: erase-verify loop counter ; ; Wait ; Dummy write ; R4: erase-verify loop counter
598
LOOPDW: DEC.W BPL MOV.B CMP.B BNE CMP.L BGT BRA RERASE: MOV.W MOV.B DEC.L CMP.W BGE SHLL.W KEEP: BRA OKEND: MOV.W MOV.B MOV.W MOV.W MOV.B
#1, LOOPDW @ER3+, #FF, RERASE ER2, EVR2 OKEND, #4000, R5H, #1, #0004, KEEP E4 ERASE #4000, R5H, #0000, R5, R5L,
R4 R4H R4H ER3
; ; Wait ; Read ; Read data = H'FF? ; If read data H'FF, branch to RERASE ; Last address in block? ; If not last address in block, erase-verify
next address
R5 @FLMCR:8 ER3 R0
R5 @FLMCR:8 R5 @EBR1:16 @FLMCR:8
; Branch to OKEND ; ; Clear EV bit ; Erase-verify address - 1 R3 ; ; Erase executed 4 times? ; Double erase loop counter value ; Erase again ; ; Clear EV bit ; ; Clear EBR1 and EBR2 ; Clear VPPE bit
............................. One block erased ABEND1: MOV.W MOV.W MOV.B ABEND2: MOV.W MOV.W MOV.B
Erase error
#0000, R5, R5L, #0000, R5, R5L,
R5 ; @EBR1:16 ; Clear EBR1 and EBR2 @FLMCR:8 ; Clear VPPE bit R5 ; @EBR1:16 ; Clear EBR1 and EBR2 @FLMCR:8 ; Clear VPPE bit
Programming error
599
Flowchart for Erasing Multiple Blocks
Start Write 0 data to all addresses to be erased (prewrite)*1 n=1 Set VPP E bit (VPP E bit = 1 in FLMCR) Wait (z) s Set erase block registers (set bits of blocks to be erased to 1) Wait initial value setting x = 6.25 ms Enable watchdog timer*2 Select erase mode (E bit = 1 in FLMCR) Wait (x) ms Clear E bit Disable watchdog timer Select erase-verify mode (EV bit = 1 in FLMCR) Wait (tVS1) s Set top address of block as verify address Dummy write to verify address*3 (flash memory latches address) Wait (tVS2) s Verify (read memory) OK Address + 1 address No Last address in block? Yes Clear EBR bit of erase-verified block *4 All erased blocks verified? Yes No No good Erase-verify next block Erasing ends
Notes: 1. Program all addresses to be erased by following the prewrite flowchart. 2. Set the watchdog timer overflow interval to the value indicated in table 18-15. 3. For the erase-verify dummy write, write H'FF with a byte transfer instruction. 4. When erasing two or more blocks, clear the bits of erased blocks in the erase block register, so that only unerased blocks will be erased again. 5. tVS1: 4 s z: 5 to 10 s tVS2: 2 s N: 602 6. The erase time x is successively incremented by the initial set value x 2n-1 (n = 1, 2, 3, 4). An initial value of 10 ms or less should be set, and the time for one erasure should be 50 ms or less.
Erase-verify next block
No
All erased blocks verified? Yes Clear EV bit All blocks erased? (EBR1 = EBR2 = 0?) Yes Clear VPP E bit End of erase No n 4? No Double the erase time (x x 2 x) n N? No Yes
Yes Clear erase block registers (clear bits of blocks to be erased to 0) Clear VPP E bit Erase error
n+1n
Figure 18-18 Multiple-Block Erase Flowchart
600
Sample Program for Erasing Multiple Blocks: This program uses the following registers. R0, R6: Specifies blocks to be erased (set as explained below) R1H: Prewrite-verify fail counter R1L: Used to test bits 0 to 15 of R0 ER2: Specifies address where address used in prewrite and erase-verify is stored ER3: Stores address used in prewrite and erase-verify ER4: Stores address used in prewrite and erase-verify ER5: Sets appropriate registers E0, E1: Timing loop counter E6: Erase-verify fail counter Arbitrary blocks can be erased by setting bits in R6. A bit map of R6 and an example setting for erasing specific blocks are shown next.
Bit R6 15 14 13 LB5 12 11 10 9 LB1 8 7 6 5 4 3 2 1 0
LB7 LB6
LB4 LB3 LB2
LB0 SB7 SB6 SB5 SB4 SB3 SB2 SB1 SB0 Corresponds to EBR2
Corresponds to EBR1
Example: to erase blocks LB2, SB7, and SB0
Bit R6 15 14 13 LB5 12 11 10 9 LB1 8 7 6 5 4 3 2 1 0
LB7 LB6
LB4 LB3 LB2
LB0 SB7 SB6 SB5 SB4 SB3 SB2 SB1 SB0 Corresponds to EBR2
Corresponds to EBR1 Setting 0 0 0 0 0 1 0 0 1 0
0
0
0
0
0
1
R6 is set as follows: MOV.W MOV.W #0481, R6, R6 @EBR1
The values of #a, #c, #d, #e, #f, #g, and #h in the program depend on the clock frequency. They can be calculated as indicated in tables 18-14 and 18-15. For #RAMSTR in the program, substitute the starting destination address in RAM, to be used when this program is moved from flash memory into RAM.
601
FLMCR: EBR1: EBR2: TCSR: ; Set R0 value START: MOV.W MOV.W SUB.W
.EQU .EQU .EQU .EQU #FFFF, R6, R1,
FFFF40 FFFF42 FFFF43 FFFFA8 R6 R0 R1 ; Select blocks to be erased (R6: EBR1/EBR2) ; R0: EBR1/EBR2 ; R1L: used to test R1-th bit in R0
; #RAMSTR is starting destination address to which program is transferred in RAM ; Set #RAMSTR to even number MOV.L #RAMSTR:32, ER2 ; Starting transfer destination address ADD.L #ERVADR:32, ER2 ; #RAMSTR + #ERVADR ER2 SUB.L #START:32, ER2 ; ER2: address of data area used in RAM PRETST: CMP.B BEQ CMP.B BCC BTST BNE BRA BC0: BTST BNE PWADD1: INC.B MOV.L BRA ; Execute prewrite PREWRT: MOV.L MOV.L MOV.W MOV.W MOV.B LOOPR0 DEC.W BPL MOV.W MOV.B MOV.W PREWRS: MOV.B MOV.B MOV.W MOV.W MOV.W MOV.W MOV.B PREW: #10, ERASES #08, BC0 R1L, PREWRT PWADD1 R1L, PREWRT R1L @ER2+, PRETST @ER2+, @ER2, #g, #4140, R5L, #1, LOOPR0 R6, #01, #a, #00, R5H, #A579, E5, E0, #4140, R5H, R1L R1L R0H R0L ; R1L = #10? ; If finished checking all R0 bits, branch to ERASES ; ; ; ; ; ; Test R1-th bit in R0 ; If R1-th bit in R0 is 1, branch to PREWRT ; R1L + 1 R1L ; Dummy-increment ER2
ER3
; ER3: prewrite starting address ; ER4: top address of next block ; Wait counter ; ; Set VPPE bit ; ; @EBR1:16 ; Set EBR (R6: EBR1/EBR2) ER3 ER4 E5 R5 @FLMCR:8 E5 R1H E0 R5H @ER3 E5 @TCSR:16 E1 R5 @FLMCR:8 ; Prewrite-verify fail count ; Set initial prewrite loop counter value ;Write #00 data ; ; ; Start watchdog timer ; Set program loop counter ; ; Set P bit
602
LOOPR1: DEC.W BPL MOV.B MOV.W MOV.W MOV.W LOOPR2: DEC.W BPL MOV.B BEQ PWVFNG: CMP.B BEQ INC.B SHLL.W BRA PWVFOK: INC.L CMP.L BEQ BRA PWADD2: INC.B BRA ; Execute erase ERASES: MOV.W SUB.W MOV.W MOV.W MOV.W MOV.W MOV.W MOV.B PUSH.L POP.L PUSH.L POP.L PUSH.L POP.L DEC.W BPL MOV.B MOV.W MOV.W
#1, LOOPR1 R5L, #A500, R5, #c, #1, LOOPR2 @ER3, PWVFOK #06, ABEND1 R1H E0 PREWRS #1, ER4, PWADD2 PREW R1L PRETST R6, E6, #d, #f , R5, E0, #4240, R5H, ER5 ER5 ER5 ER5 ER5 ER5 #1, LOOPE R5L, #A500, R5,
; Program ; @FLMCR:8 ; Clear P bit R5 ; @TCSR:16 ; Stop watchdog timer R5 ; Prewrite-verify loop counter R5 ; ; E1 R5H R1H ; Read data = #'00? ; If read data = #'00, branch to PWVFOK ; Prewrite-verify executed 6 times? ; If prewrite-verify executed 6 times, branch to ABEND1 ; Prewrite-verify fail count + 1 R1H ; Double prewrite loop counter value ; Prewrite again ; Address + 1 ER3 ; Last address? ; ; ; Used to test (R1L + 1)-th bit in R0 ; Branch to PRETST @EBR1:16 ; Set EBR1/EBR2 E6 E0 R5 @TCSR:16 E1 R5 @FLMCR:8 ; E6: erase-verify fail count ; Set initial erase loop counter value ; ; Start watchdog timer ; Set erase-loop counter ; ; Set E bit
ER3 ER3
ERASE:
LOOPE:
E1
; Erase
@FLMCR:8 ; Clear E bit R5 ; @TCSR:16 ; Stop watchdog timer
603
; Execute erase-verify EVR: MOV.W SUB.W
R6, R1,
R0 R1
; R0: EBR1/EBR2 ; R1: used to test R1-th bit in R0
; #RAMSTR is starting destination address to which program is transferred in RAM MOV.L #RAMSTR:32, ER2 ; Starting transfer destination address (RAM) ADD.L #ERVADR:32, ER2 ; #RAMSTR + #ERVADR ER2 SUB.L #START:32, ER2 ; ER2: address of data area used in RAM MOV.B MOV.B MOV.W LOOPEV: DEC.W BPL EBRTST: CMP.B BEQ CMP.B BCC BTST BNE BRA BC1: BTST BNE ADD01: INC.B MOV.L BRA #48, R5H, #e , #1, LOOPEV #10, HANTEI #08, BC1 R1L, ERSEVF ADD01 R1L, ERSEVF R1L @ER2+, EBRTST @ER2+, @ER2, #FF, R5H, #h , #1, LOOPDW @ER3+, #FF, ADD02 ER4, EVR2 #08, BC2 R1L, ADD02 R1L, R1L EBRTST R5H ; @FLMCR:8 ; Set EV bit R5 R5 R1L R1L R0H R0L ; R5: set erase-verify loop counter ; Program ; Wait ; R1L = #10? ; If finished checking all R0 bits, branch to HANTEI ; ; ;Test R1-th bit in R0H (EBR1) ; ; ; Test R1-th bit in R0L (EBR2) ; If R1-th bit in R0 is 1, branch to ERSEVF ; R1L + 1 R1L ; Dummy-increment R2 ; ; ER3: top address of block to be erase-verified ; ER4: top address of next block ; ; Dummy write ; R5: erase-verify loop counter ; ; Wait ; Read ; Read data = #FF? ; If read data #FF, branch to ADD02 ; Last address in block? ; If not last address in block, branch to EVR2 ; ; ; Clear R1L-th bit in R0H (EBR1) ; ; Clear R1L-th bit in R0L (EBR2) ; R1L + 1 R1L ; Erase-verify next erased block
604
ER3 ER3 ER4 R5H @ER3 R5 R5 R5L R5L ER3 R1L R0H R0L
ERSEVF: MOV.L MOV.L MOV.B MOV.B MOV.W LOOPDW: DEC.W BPL MOV.B CMP.B BNE CMP.L BNE CMP.B BCC BCLR BRA BCLR INC.B BRA EVR2:
BC2: ADD02:
HANTEI: MOV.W MOV.B MOV.W BEQ CMP.W BEQ INC.W CMP.W BGE SHLL.W BRA
#4000, R5H, R0, EOWARI #025A, ABEND2 #1, #0004, KEEP E0 ERASE
R5 ; @FLMCR:8 ; Clear EV bit @EBR1:16 ; Clear bit of erased block to 0 ; If EBR1/EBR2 is all 0, erasing ended normally E6 E6 E6 ; E6 = 025A? (erase-verify fail count = 602?) ; If E6 = 025A, branch to ABEND2 ; Erase-verify fail count + 1 E6 ; ; Erase executed 4-times? ; Double erase loop counter value ; Erase again
KEEP:
;------------------------------------------------------------ .ALIGN2 ERVADR: .DATA.L 00000000 ; #0000 LB0 .DATA.L 00004000 ; #4000 LB1 .DATA.L 00008000 ; #8000 LB2 .DATA.L 0000C000 ; #C000 LB3 .DATA.L 00010000 ; #10000 LB4 .DATA.L 00014000 ; #14000 LB5 .DATA.L 00018000 ; #18000 LB6 .DATA.L 0001C000 ; #1C000 LB7 .DATA.L 0001F000 ; #1F000 SB0 .DATA.L 0001F200 ; #1F200 SB1 .DATA.L 0001F400 ; #1F400 SB2 .DATA.L 0001F600 ; #1F600 SB3 .DATA.L 0001F800 ; #1F800 SB4 .DATA.L 0001FA00 ; #1FA00 SB5 .DATA.L 0001FC00 ; #1FC00 SB6 .DATA.L 0001FE00 ; #1FE00 SB7 .DATA.L 00020000 ; #20000 FLASH AREA END ADDRESS EOWARI: MOV.B MOV.B
Erase end
#00, R5L, #0000, R5, R5L, #0000, R5, R5L,
R5L ; @FLMCR:8 ; Clear VPPE bit R5 ; @EBR1:16 ; Clear EBR1 and EBR2 @FLMCR:8 ; Clear VPPE bit R5 ; @EBR1:16 ; Clear EBR1 and EBR2 @FLMCR:8 ; Clear VPPE bit
ABEND1: MOV.W MOV.W MOV.B ABEND2: MOV.W MOV.W MOV.B
Erase error
Programming error
605
Loop Counter Values in Programs and Watchdog Timer Overflow Interval Settings: The values of a to h in the programs depend on the clock frequency. Table 18-14 indicates the values for 10 MHz. Values for other frequencies can be calculated as shown below, but use the settings in table 18-15 for the value off. Table 18-14 Loop Counter Values in Program (10 MHz)
Variable Clock Frequency f = 10 MHz Comments Hexadecimal Decimal a (f) H'0019 25 b (f) H'0007 7 c (f) H'0007 7 d (f) 947 e (f) 7 g (f) 9 h (f) 4 tVS2 H'03B3 H'0007 H'0009 H'0004
Program tVS1 at write
tVS2 Erase at pre-write
tVS1 z at erase
Formula: a (f) to h (f) = Clock frequency f [MHz] x {a (f = 10) to h (f = 10)} 10
Examples for 16 MHz: a (f) = b (f) = c (f) = d (f) = e (f) = g (f) = h (f) = 16 10 16 10 16 10 16 10 16 10 16 10 16 10 x x x 25 = 7= 7= 40 H'0028 11.2 H'000C 11.2 H'000C
x 947 = 1515.2 H'05EC x x x 7= 9= 4= 11.2 H'000C 14.4 H'000F 6.4 H'0007
Table 18-15 Watchdog Timer Overflow Interval Settings
Variable Clock Frequency 10 MHz frequency 16 MHz 2 MHz frequency < 10 MHz 1 MHz frequency < 2 MHz Note: f H'A57F H'A57E H'A57D
The watchdog timer (WDT) set value is calculated based on the number of instructions including write time and erase time from start to stop of WDT operation. In this program example, therefore, no more instructions should be added between the start and stop of WDT operation. 606
18.7.7 Prewrite-Verify Mode Prewrite-verify mode is a verify mode used after writing 0 to all bits to equalize their threshold voltages before erasure. To program all bits, write H'00 in accordance with the algorithm shown in figure 18-17. Use this procedure to set all data in the flash memory to H'00 after programming. After the necessary programming time has elapsed, exit program mode (by clearing the P bit to 0) and select prewriteverify mode (leave the P, E, PV, and EV bits all cleared to 0). In prewrite-verify mode, a prewriteverify voltage is applied to the memory cells at the read address. If the flash memory is read in this state, the data at the read address will be read. After selecting prewrite-verify mode, wait 4 s before reading. Note: For a sample prewriting program, see the sample erasing program. 18.7.8 Protect Modes Flash memory can be protected from programming and erasing by software or hardware methods. These two protection modes are described below. Software Protection: Prevents transitions to program mode and erase mode even if the P or E bit is set in the flash memory control register (FLMCR). Details are as follows.
Function Protection Block protect Description Individual blocks can be erase and program-protected by the erase block registers (EBR1 and EBR2). If EBR1 and EBR2 are both set to H'00, all blocks are erase- and program-protected. When the RAMS bit is set in the RAM control register (RAMCR), all blocks are protected from both programming and erasing. Program Disabled Erase Disabled Verify*1 Enabled
Emulation protect
Disabled*2 Disabled*3 Enabled*2
Notes: 1. Three modes: program-verify, erase-verify, and prewrite-verify. 2. Except in RAM areas overlapped onto flash memory. 3. All blocks are erase-disabled. It is not possible to specify individual blocks.
607
Hardware Protection: Suspends or disables the programming and erasing of flash memory, and resets the flash memory control register (FLMCR) and erase block registers (EBR1 and EBR2). The error-protect function permits the P and E bits to be set, but prevents transitions to program mode and erase mode. Details of hardware protection are as follows.
Function Protection Programing voltage (VPP) protect Reset and standby protect Description When VPP is not applied, FLMCR, EBR1, and EBR2 are initialized, disabling programming and erasing. To obtain this protection, VPP should not exceed VCC.*3 When a reset occurs (including a watchdog timer reset) or standby mode is entered, FLMCR, EBR1, and EBR2 are initialized, disabling programming and erasing. Note that RES input does not ensure a reset unless the RES pin is held low for at least 20 ms at power-up (to enable the oscillator to settle), or at least 10 system clock cycles (o) during operation. If an operational error is detected during programming or erasing of flash memory (FLER = 1), the FLMCR, EBR1, and EBR2 settings are preserved, but programming or erasing is aborted immediately. This type of protection can be cleared only by a reset or hardware standby. Program Disabled Erase Verify*1
Disabled*2 Disabled
Disabled
Disabled*2 Disabled
Error protect
Disabled
Disabled*2 Enabled
Notes: 1. Program-verify, erase-verify, and prewrite-verify modes. 2. All blocks are erase-disabled. It is not possible to specify individual blocks. 3. For details, see section 18.10, Flash Memory Programming and Erasing Precautions.
Error Protect: This protection mode is entered if one of the error conditions that set the FLER bit in RAMCR is detected while flash memory is being programmed or erased (while the P bit or E bit is set in FLMCR). These conditions can occur if microcontroller operations do not follow the programming or erasing algorithm. Error protect is a flash-memory state. It does not affect other microcontroller operations. In this state the settings of the flash memory control register (FLMCR) and erase block registers (EBR1 and EBR2) are preserved,* but program mode or erase mode is terminated as soon as the error is detected. While the FLER bit is set, it is not possible to enter program mode or erase mode, even by setting the P bit or E bit in FLMCR again. The PV and EV bits in FLMCR remain valid, however. Transitions to verify modes are possible in the error-protect state.
608
The error-protect state can be cleared only by a reset or entry to hardware standby mode. Note: * It is possible to write to these registers. Note that a transition to software standby mode initializes these registers.
Memory read or verify mode RD VF PR ER FLER = 0
RES = 0 or STBY = 0 or software standby RES = 1 and STBY = 1 and not software standby Reset or standby (hardware protect) RD VF PR ER INIT. FLER = 0
P = 1 or E = 1
P = 0 and E = 0 RES = 0 or STBY = 0
Program mode or erase mode RD VF PR ER FLER = 0 Error occurs RD: VF: PR: ER: RD: VF: PR: ER: INIT.: Memory read enabled Verify read enabled Error-protect mode Programming enabled Erase enabled Memory read disabled RD VF PR ER Verify read disabled FLER = 1 Programming disabled Erase disabled Initialized state of registers (FLMCR, EBR1, EBR2) Error occurs (software standby)
RES = 0 or STBY = 0
RES = 0 or STBY = 0
Software standby
Error-protect mode (software standby) RD VF PR ER INIT. FLER = 1
Software standby cleared
Figure 18-19 Flash Memory State Transitions in Modes 5, 6 and 7 (On-Chip ROM Enabled) when Programming Voltage (VPP) is Applied The purpose of error-protect mode is to prevent overprogramming or overerasing damage to flash memory by detecting abnormal conditions that occur if the programming or erasing algorithm is not followed, or if a program crashes while the flash memory is being programmed or erased. This protection function does not cover abnormal conditions other than the setting conditions of the flash memory error bit (FLER), however. Also, if too much time elapses before the errorprotect state is reached, the flash memory may already have been damaged. This function accordingly does not offer foolproof protection from damage to flash memory. To prevent abnormal operations, when programming voltage (VPP) is applied, follow the programming and erasing algorithms correctly, and keep microcontroller operations under constant internal and external supervision, using the watchdog timer for example. If a transition to error-protect mode occurs, the flash memory may contain incorrect data due to errors in
609
programming or erasing, or it may contain data that has been insufficiently programmed or erased because of the suspension of these operations. Boot mode should be used to recover to a normal state. If the memory contains overerased memory cells, boot mode may not operate correctly. This is because the H8/3048F's built-in boot program is located in part of flash memory, and will not read correctly if memory cells have been overerased. 18.7.9 NMI Input Masking NMI input is disabled when flash memory is being programmed or erased (when the P or E bit is set in FLMCR). NMI input is also disabled while the boot program is executing in boot mode, until the branch to the on-chip RAM area takes place.*1 There are three reasons for this. * NMI input during programming or erasing might cause a violation of the programming or erasing algorithm. Normal operation could not be assured. In the NMI exception-handling sequence during programming or erasing, the vector would not be read correctly.*2 The result might be a program runaway. If NMI input occurred during boot program execution, the normal boot-mode sequence could not be executed.
*
*
NMI input is also disabled in the error-protect state while the P or E bit remains set in the flash memory control register (FLMCR). NMI requests should be disabled externally whenever VPP is applied. Notes: 1. The disabled state lasts until the branch to the boot program area in on-chip RAM (addresses H'FFEF10 to H'FFF2FF) that takes place as soon as the transfer of the user program is completed. After the branch to the RAM area, NMI input is enabled except during programming or erasing. NMI interrupt requests must therefore be disabled externally until the user program has completed initial programming (including the vector table and the NMI interrupt-handling program). 2. The vector may not be read correctly for the following two reasons. * If flash memory is read while being programmed or erased (while the P or E bit is set in FLMCR), correct read data will not be obtained. Undetermined values are returned. * If the NMI entry in the vector table has not been programmed yet, NMI exception handling will not be executed correctly.
610
18.8 Flash Memory Emulation by RAM
Erasing and programming flash memory takes time, which can make it difficult to tune parameters and other data in real time. If necessary, real-time updates of flash memory can be emulated by overlapping the small-block flash-memory area with part of the RAM (H'FFF000 to H'FFF1FF). This RAM reassignment is performed using bits 3 to 0 of the RAM control register (RAMCR). After a flash memory area has been overlapped by RAM, it can be accessed from two address areas: the overlapped flash memory area, and the original RAM area (H'FFF000 to H'FFF1FF). Table 18-16 indicates how to reassign RAM. RAM Control Register (RAMCR)
Bit 7 FLER Initial R/W value * 0 R 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 RAMS 0 R/W 2 RAM2 0 R/W 1 RAM1 0 R/W 0 RAM0 0 R/W
Note:
* Bit 7 and bits 3 to 0 are initialized by a reset and in hardware standby mode. They are not initialized in software standby mode.
Table 18-16 RAM Area Reassignment
Bit 3 RAM Area H'FFF000 to H'FFF1FF H'01F000 to H'01F1FF H'01F200 to H'01F3FF H'01F400 to H'01F5FF H'01F600 to H'01F7FF H'01F800 to H'01F9FF H'01FA00 to H'01FBFF H'01FC00 to H'01FDFF H'01FE00 to H'01FFFF RAMS 0 1 1 1 1 1 1 1 1 Bit 2 RAM2 0/1 0 0 0 0 1 1 1 1 Bit 1 RAM1 0/1 0 0 1 1 0 0 1 1 Bit 0 RAM0 0/1 0 1 0 1 0 1 0 1
611
Example of Emulation of Real-Time Flash-Memory Update
H'01F000
Procedure 1. Set the RAME bit to 1 in SYSCR to enable the on-chip RAM.
Flash memory address space
2. Overlap part of RAM (H'FFF000 to H'FFF1FF) onto the area requiring real-time update (SB5). (Set RAMCR bits 3 to 0 to 1101.)
Overlapped by RAM
H'01F9FF H'01FA00 Small-block area (SB5) H'01FBFF H'01FDFF H'01FE00 H'01FFFF H'FFEF10 H'FFF000 H'FFF1FF H'FFF200
3. Perform real-time updates in the overlapping RAM. 4. After finalization of the update data, clear the RAM overlap (by clearing the RAMS bit). 5. Program the data written in RAM addresses H'FFF000 to H'FFF1FF into the flash memory area.
On-chip RAM area H'FFFF0F
Notes: 1. When part of RAM (H'FFF000 to H'FFF1FF) is overlapped onto a small-block area in flash memory, the overlapped flash memory area cannot be accessed. Access is enabled when the overlap is cleared. 2. When the RAMS bit is set to 1, all flash memory blocks are write-protected and eraseprotected, regardless of the values of bits RAM2 to RAM0. In this state, no transition to program or erase mode will take place if the P or E bit is set in the flash memory control register (FLMCR). To actually program or erase a flash memory area, the RAMS bit must be cleared to 0.
Figure 18-20 Example of RAM Overlap
612
18.9 Flash Memory PROM Mode
18.9.1 PROM Mode Setting The on-chip flash memory of the H8/3048F can be programmed and erased not only in the onboard programming modes but also in PROM mode, using a general-purpose PROM programmer. Table 18-17 indicates how to select PROM mode. Be sure to use the indicated socket adapter in PROM mode. Table 18-17 Selecting PROM Mode
Pins Mode pins: MD2, MD1, MD0 P80, P81, and P92 STBY and HWR P50, P51, and P82 RES XTAL and EXTAL Power-on reset circuit Oscillator circuit High Setting Low
18.9.2 Socket Adapter and Memory Map Programs can be written and verified by attaching a special 100-pin/32-pin socket adapter to the PROM programmer. Table 18-18 gives ordering information for the socket adapter. Figure 18-21 shows a memory map in PROM mode. Figure 18-22 shows the socket adapter pin interconnections. Table 18-18 Socket Adapter
Microcontroller HD64F3048F HD64F3048VF HD64F3048TF HD64F3048VTF Package 100-pin plastic QFP (FP-100B) 100-pin plastic TQFP (TFP-100B) Socket Adapter HS3048ESHF1H HS3048ESNF1H
MCU mode H'000000
H8/3048F
PROM mode H'00000
On-chip ROM area
H'01FFFF
H'1FFFF
Figure 18-21 Memory Map in PROM Mode Note: * The FP-100B and TFP-100B pin pitch is only 0.5 mm. Use an appropriate tool when inserting the device in the IC socket and removing it. For example, the tool listed in table 18-19 can be used. Table 18-19
Manufacturer ENPLAS CORPORATION Part Number HP-100 (vacuum pen)
614
H8/3048F Pin No. FP-100B, TFP-100B 10 64 69 58 90 27 28 29 30 31 32 33 34 36 37 38 39 40 41 42 43 45 46 47 48 49 50 51 52 53, 54, 89 62, 71 73 to 75 87, 88, 14 76, 77 1, 35, 68 86 11, 22, 44 57, 65, 92 63 66, 67 Other pins Note: RES EXTAL, XTAL NC (OPEN) RESO NMI P63 P6 0 P83 P30 P31 P32 P33 P34 P35 P36 P37 P1 0 P1 1 P1 2 P1 3 P1 4 P1 5 P1 6 P1 7 P2 0 P2 1 P2 2 P2 3 P2 4 P2 5 P2 6 P2 7 P5 0, P5 1, P82 STBY, HWR MD0, MD1, MD2, P80, P81, P92 AVCC, VREF VCC AVSS VSS Power-on reset circuit Oscillator circuit Pin Name Socket Adapter HN28F101 (32 Pins) Pin Name VPP A9 A 16 A 15 WE I/O 0 I/O 1 I/O 2 I/O 3 I/O 4 I/O 5 I/O 6 I/O 7 A0 A1 A2 A3 A4 A5 A6 A7 A8 OE A 10 A 11 A 12 A 13 A 14 CE VCC VSS Legend VPP: Pin No. 1 26 2 3 31 13 14 15 17 18 19 20 21 12 11 10 9 8 7 6 5 27 24 23 25 4 28 29 22 32 16
Programming power supply I/O 7 to I/O0 : Data input/output A 16 to A 0 : Address input Output enable OE: Chip enable CE: WE: Write enable
This figure shows pin assignments, and does not show the entire socket adapter circuit. When undertaking a new design, board design (power supply voltage stabilization, noise countermeasures, etc.) and operating timing design as a high-speed CMOS LSI are necessary.
Figure 18-22 Wiring of Socket Adapter
615
18.9.3 Operation in PROM Mode The program/erase/verify specifications in PROM mode are the same as for the standard HN28F101 flash memory. Table 18-20 indicates how to select the various operating modes. The H8/3048F does not have a device recognition code, so the programmer cannot read the device name automatically. Table 18-20 Operating Mode Selection in PROM Mode
Pins Mode Read Read Output disable Standby Command write Read Output disable Standby Write Legend L: Low level H: High level VPP: VPP level VCC: VCC level X: Don't care VPP VCC VCC VCC VPP VPP VPP VPP VCC VCC VCC VCC VCC VCC VCC VCC CE L L H L L H L OE L H X L H X H WE H H X H H X L I/O7 to I/O0 Data output High impedance High impedance Data output High impedance High impedance Data input A16 to A0 Address input
616
Table 18-21 PROM Mode Commands
1st Cycle Command Memory read Erase setup/erase Erase-verify Auto-erase setup/ auto-erase Program setup/ program Program-verify Reset PA: EA: RA: PD: PVD: EVD: Cycles 1 2 2 2 2 2 2 Program address Erase-verify address Read address Program data Program-verify output data Erase-verify output data Mode Write Write Write Write Write Write Write Address X X EA X X X X Data H'00 H'20 H'A0 H'30 H'40 H'C0 H'FF Mode Read Write Read Write Write Read Write 2nd Cycle Address RA X X X PA X X Data Dout H'20 EVD H'30 PD PVD H'FF
617
High-Speed, High-Reliability Programming: Unused areas of the H8/3048F flash memory contain H'FF data (initial value). The H8/3048F flash memory uses a high-speed, high-reliability programming procedure. This procedure provides enhanced programming speed without subjecting the device to voltage stress and without sacrificing the reliability of programmed data. Figure 18-23 shows the basic high-speed, high-reliability programming flowchart. Tables 18-22 and 18-23 list the electrical characteristics during programming.
Start Set VPP = 12.0 V 0.6 V Address = 0
n=0
n+1n Program setup command Program command Wait (25 s) Program-verify command Wait (6 s) Address + 1 address Verification? OK n = 20? No Last address? Yes Set VPP = VCC End Yes No good
No
Fail
Figure 18-23 High-Speed, High-Reliability Programming
618
High-Speed, High-Reliability Erasing: The H8/3048F flash memory uses a high-speed, highreliability erasing procedure. This procedure provides enhanced erasing speed without subjecting the device to voltage stress and without sacrificing data reliability . Figure 18-24 shows the basic high-speed, high-reliability erasing flowchart. Tables 18-22 and 18-23 list the electrical characteristics during programming.
Start Program 0 to all bits * Address = 0
n=0
n+1n
Erase setup/erase command
Wait (10 ms)
Erase-verify command Wait (6 s) Address + 1 address Verification? OK n = 3000? No Last address? Yes Yes No good
No
End
Note: * Follow the high-speed, high-reliability flowchart in programming all bits.
Fail
Figure 18-24 High-Speed, High-Reliability Erasing
619
Table 18-22 DC Characteristics in PROM Mode
(Conditions: VCC = 5.0 V 10%, VPP = 12.0 V 0.6 V, VSS = 0 V, Ta = 25C 5C) Item Input high voltage Input low voltage Output high voltage Output low voltage Input leakage current VCC current I/O7 to I/O0, A16 to A0, OE, CE, WE I/O7 to I/O0, A16 to A0, OE, CE, WE I/O7 to I/O0 I/O7 to I/O0 I/O7 to I/O0, A16 to A0, OE, CE, WE Read Program Erase VPP current Read Symbol VIH Min 2.2 Typ -- Max VCC + 0.3 Unit V Test Conditions
VIL
-0.3
--
0.8
V
VOH VOL ILI
2.4 -- --
-- -- --
-- 0.45 2
V V A
IOH = -200 A IOL = 1.6 mA VIN = 0 to VCC V
ICC ICC ICC IPP IPP IPP
-- -- -- -- --
40 40 40 -- 10 20 20
80 80 80 200 20 40 40
mA mA mA A mA mA mA VPP = 5.0 V VPP = 12.6 V
Program Erase
-- --
Note: For details on absolute maximum ratings, see section 21-1. Using an LSI in excess of absolute maximum ratings may result in permanent damage*. * VPP peak overshoot should not exceed 13 V.
620
Table 18-23 AC Characteristics in PROM Mode
(Conditions: VCC = 5.0 V 10%, VPP = 12.0 V 0.6 V, VSS = 0 V, Ta = 25C 5C) Item Command write cycle Address setup time Address hold time Data setup time Data hold time CE setup time CE hold time VPP setup time VPP hold time WE programming pulse width WE programming pulse high time OE setup time before command write OE setup time before verify Verify access time OE setup time before status polling Status polling access time Program wait time Erase wait time Output disable time Total auto-erase time Symbol tCWC tAS tAH tDS tDH tCES tCEH tVPS tVPH tWEP tWEH tOEWS tOERS tVA tOEPS tSPA tPPW tET tDF tAET Min 120 0 60 50 10 0 0 100 100 70 20 0 6 -- 120 -- 25 9 0 0.5 Typ -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Max -- -- -- -- -- -- -- -- -- -- -- -- -- 500 -- 120 -- 11 40 30 Unit ns ns ns ns ns ns ns ns ns ns ns ns s ns ns ns ns ms ns s Test Conditions Figure 18-25 Figure 18-26 Figure 18-27 *
Note: CE, OE, and WE should be high during transitions of VPP from 5 V to 12 V and from 12 V to 5 V. * Input pulse level: 0.45 V to 2.4 V Input rise time and fall time 10 ns Timing reference levels: 0.8 V and 2.0 V for input; 0.8 V and 2.0 V for output
621
Auto-erase setup VCC VPP 5.0 V 12 V 5.0 V tVPS
Auto-erase and status polling
tVPH
Address CE tCEH OE tOEWS WE tDS I/O 7
Command in
tCES tOEPS tAET
tCES tWEP
tCWC tCES tCEH tWEH tDH tWEP tDS
Command in
tDH
tSPA
tDF
Status polling I/O 0 to I/O 6
Command in Command in
Figure 18-25 Auto-Erase Timing
Program setup VCC VPP 5.0 V 12 V 5.0 V Address tVPS
Valid address
Program
Program-verify
tVPH tAH
tAS CE
tCEH OE tOEWS WE tDS I/O 7
Command in
tCES tWEP
tCWC tCEH tWEH tDH
tCES tWEP
tCES tPPW tWEP
tCEH tOERS tVA
Valid data out
tDS
Data in
tDH
tDS
Command in
tDH
tDF
I/O 0 to I/O 6
Command in
Data in
Command in
Valid data out
Note: Program-verify data output values may be intermediate between 1 and 0 if programming is insufficient.
Figure 18-26 High-Speed, High-Reliability Programming Timing
622
Erase setup VCC 5.0 V 12 V VPP 5.0 V Address tVPS
Erase
Erase-verify
tVPH Valid address tAS tAH
CE
OE
tOEWS tCES tWEP tCEH tDS
tCWC tCES tWEH
tCEH tWEP
tCES tET tWEP
tCEH tOERS
WE
tVA tDS
Command in
tDH
tDH
tDS
Command in
tDH
Valid data out
tDF
I/O0 to I/O7
Command in
Note: Erase-verify data output values may be intermediate between 1 and 0 if erasing is insufficient.
Figure 18-27 Erase Timing
623
18.10 Flash Memory Programming and Erasing Precautions
(1) Program with the specified voltages and timing. The programming voltage (VPP) of the flash memory is 12.0 V. If the PROM programmer is set to Hitachi HN28F101 specifications, VPP will be 12.0 V. Applied voltages in excess of the rating can permanently damage the device. Insure, in particular, that peak overshoot at the Vpp and MD2 pins does not exceed the maximum rating of 13 V. Also, be very careful about PROM programmer overshoot. (2) Before programming, check that the chip is correctly mounted in the PROM programmer. Overcurrent damage to the device can result if the index marks on the PROM programmer socket, socket adapter, and chip are not correctly aligned. (3) Don't touch the socket adapter or chip while programming. Touching either of these can cause contact faults and write errors. (4) Precautions in turning the programming voltage (VPP) on and off: (a) Apply the programming voltage (VPP) after the rise of VCC, when the microcontroller is in a stable condition. Shut off VPP before VCC, again while the microcontroller is in a stable condition. If VPP is turned on or off while VCC is not within its rated voltage range (VCC = 2.7 to 5.5 V), since microcontroller operation is unstable and flash memory protection is not functioning, the flash memory may be programmed or erased by mistake. This can occur even if VCC = 0 V. The same danger of incorrect programming or erasing exists when VCC is within its rated voltage range (VCC = 2.7 to 5.5 V) if the clock oscillator has not stabilized, if the clock oscillator has stopped (except in standby), or if a program runaway has occurred. After VCC power-up, do not apply VPP until the clock oscillator has had time to settle (tOSC1 = 20 ms min) and the microcontroller is safely in the reset state, or the reset has been cleared. These power-on and power-off timing requirements should also be satisfied in the event of a power failure and recovery from a power failure. If these requirements are not satisfied, the flash memory may not only be unintentionally programmed or erased; it may be permanently damaged.
624
(b) The VPP bit in the flash memory control register (FLMCR) is set or cleared when the VPPE bit in FLMCR is set or cleared while a voltage of 12.0 0.6 V is being applied to the VPP pin. After the VPPE bit is set, it becomes possible to write the erase block registers (EBR1 and EBR2) and the EV, PV, E, and P bits in FLMCR. Accordingly, program or erase flash memory 5 to 10 s after the VPPE bit is set. VPP should be turned off only when the P, E and VPPE bits in FLMCR are cleared. Be sure that these bits are not set by mistaken access to FLMCR.
Programming/ erasing tFRS possible
tVPS* o tosc1 2.7 to 5.5 V VCC
min 0 s
120.6 V VPP 0 to Vcc V min 0 s min 10 o 0 to Vcc V
120.6 V 0 to Vcc V MD2 min 0s RES VppE set VPPE bit Period during which flash memory access is prohibited Period during which flash memory can be rewritten (Execution of program in flash memory prohibited, and data reads other than verify operations prohibited) * tVPS: 5 to 10s VppE cleared tMDS 0 to Vcc V
Figure 18-28 Power-On and Power-Off Timing (Boot Mode)
625
Programming/ erasing
tVPS*1 possible
tFRS
o tosc1 2.7 to 5.5 V min 0 s
VCC
120.6 V VPP 0 to Vcc V 0 to Vcc V tMDS 0 to Vcc V
*2
*2
MD2 to 0
0 to Vcc V
RES VppE set VPPE bit Period during which flash memory access is prohibited Period during which flash memory can be rewritten (Execution of program in flash memory prohibited, and data reads other than verify operations prohibited) *1 tVPS: 5 to 10 s *2 The level of the mode pins (MD2 to MD0) must be fixed from power-on to power-off by pulling the pins up or down. VppE cleared
Figure 18-29
Power-On and Power-Off Timing (User Program Mode)
626
tVPS
Programming/ erasing possible tFRS
tVPS
tVPS
Programming/ erasing possible tFRS Programming/ erasing possible tFRS
tVPS
Programming/ erasing possible
o tosc1 2.7 to 5.5 V 120.6 V min 0 s min 10 o 120.6 V MD2 to 0 0 to Vcc V min 0s RES VppE set VPPE bit VppE cleared tFRS*2 Clear VppE tMDS tMDS*2
VCC
VPP
0 to Vcc V
Mode switching*1
Boot mode
Mode switching*1
User mode
User program mode
User mode
User program mode
Period during which flash memory access is prohibited Period during which flash memory can be rewritten (Execution of program in flash memory prohibited, and data reads other than verify operations prohibited) Notes 1 When entering boot mode or making a transition from boot mode to another mode, mode switching must be carried out by means of RES input. The pin output states change during this switchover interval (the interval during which the RES pin is low), and therefore these pins should not be used as output signals during this time. 2 When making a transition from boot mode to another mode, the flash memory read setup time tFRS and mode programming setup time tMDS must be satisfied with respect to RES clearance timing.
Figure 18-30 Mode Transition Timing (Example: Boot Mode User Mode User Program Mode)
627
(5) Do not apply 12 V to the VPP pin during normal operation. To prevent microcontroller errors caused by accidental programming or erasing, apply 12 V to VPP only when the flash memory is programmed or erased, or when flash memory is emulated by RAM. While 12 V is applied, the watchdog timer should be running and enabled to halt runaway program execution, so that program runaway will not lead to overprogramming or overerasing. (6) Disable watchdog-timer reset output (RESO) while the programming voltage (VPP) is turned on. If 12 V is applied during watchdog timer reset output (while the RESO pin is low), overcurrent flow will permanently destroy the reset output circuit. The watchdog timers reset output enable bit (RSTOE) should not be set to 1. If a pull-up resistor is externally attached to the VPP/RESO pin, a diode is necessary to prevent reverse current from flowing to VCC when VPP is applied (figure 18-31). (7) If the watchdog timer generates a reset output signal when 12 V is not applied, the rise and fall of the reset output waveform will be delayed by any decoupling capacitors connected to the VPP pin.
+5 V
Pull-up resistor and a diode
+12 V
VPP / RESO H8/3048F
1.0 F
0.01 F
Figure 18-31 VPP Power Supply Circuit Design (Example)
628
(8) Notes concerning mounting board development--handling of VPP and mode MD2 pins 1. The standard 12 V high voltage is applied to the VPP and mode MD2 pins when erasing or programming flash memory. The voltage at these pins also includes overshoot and noise, and the following points should be noted to ensure that the 13 V maximum rated voltage is not exceeded. (a) Bypass capacitors should be inserted to eliminate overshoot and noise. These should be positioned as close as possible to the chip's VPP and mode MD2 pins. 1.0 F: Stabilizes fluctuations in the low-frequency components, such as power supply ripple.
0.01 F: Bypasses high-frequency components such as induction noise. (b) The VPP and mode MD2 pin wiring should be kept as short as possible to suppress induction noise. When designing a new board, in particular, noise may be increased by jumper wires, etc. In this case too, the power supply waveform should be monitored and measures taken to prevent the maximum rating from being exceeded. (c) The maximum rated voltage is based on the potential of the VSS pin. If the potential of this pin oscillates due to current fluctuations, etc., the voltage of the VPP and mode MD2 pins may reciprocally exceed the maximum rated voltage. Careful attention must therefore be paid to stabilizing the reference potential. Note: When the user system's 12 V power supply is connected, attention must be paid to the current capacity. A power supply with a small current capacity will not be able to handle fluctuations in the chip's operating voltage, resulting in voltage drops and rises or oscillation that may make it impossible to obtain the rated operating voltage. If the power supply has a large current capacity, or if the 12 V voltage is turned on abruptly by means of a switch, etc., caution is required since a voltage exceeding the maximum rating may be generated due to the inductance component of the power supply wiring or the power supply characteristics. Before using the power supply, check the power supply waveform to ensure that the above problems will not arise.
629
2. 12 V is applied to the VPP and mode MD2 pins when programming or erasing flash memory. When these pins are pulled up to the VCC line in normal operation, diodes should be inserted to prevent reverse current from flowing to the VCC line when 12 V is applied. Note: In normal operation, if the mode MD2 pin to which 12 V is applied is to be set to 0, it should be pulled down with a resistor. A sample circuit is shown figure 18-32.
VCC VPP pin 12 V VPP VCC 0.01 F 1.0 F 12 V Mode pin 0.01 F 1.0 F Adapter board User system MD2 mode pin H8/3048F
Figure 18-32 Example of Mounting Board Design (Connection to Adapter Board--When VPP Pin and Mode Pin Settings Are 1)
630
(9) Do not set or clear the VppE bit during execution of a program in flash memory. Flash memory data cannot be read normally when the VppE bit is set or cleared. After the VppE bit is cleared, flash memory data can be rewritten after waiting for the elapse of the Vpp enable setup time (tVPS: 5 10 [??] s), but flash memory cannot be accessed for purposes other than verification (verification during programming, erasing, or prewriting). After the VppE is cleared, wait for the elapse of the flash memory read setup time before performing program execution and data reading in flash memory. (10) Do not use interrupts while programming or erasing flash memory. When Vpp is applied, disable all interrupt requests, including NMI, to give the programming or erase operation the highest priority. (11) The Vpp flag is set and cleared by a threshold decision on the voltage applied to the Vpp pin. The threshold level is approximately in the range from Vcc +2 V to 11.4 V. When this flag is set, it becomes possible to write to the flash memory control register (FLMCR) and the erase block registers (EBR1 and EBR2), even though the Vpp voltage may not yet have reached the programming voltage range of 12.0 V 0.6 V. Do not actually program or erase the flash memory until Vpp has reached the programming voltage range. The programming voltage range for programming and erasing flash memory is 12.0 V 0.6 V (11.4 V to 12.6 V). Programming and erasing cannot be performed correctly outside this range. When not programming or erasing the flash memory, ensure that the Vpp voltage does not exceed the Vcc voltage. This will prevent unintentional programming and erasing. (12) After the Vpp enable bit (VppE) is cleared, the flash memory read setup time (tFRS)* must elapse before the flash memory is read. When switching from boot mode or user program mode to normal mode (Vpp 12 V, MD? 12 V), this setup time is required as the period from VppE bit clearance until the flash memory is read. When switching from boot mode to another mode, a mode programming setup time (tMDS) is required with respect to the ~RES release timing. Note: * The flash memory read setup time stipulates the interval before flash memory is read after the VppE bit is cleared (figure 18-30). Also, when using an external clock (EXTAL input), after powering on and when returning from standby mode, the flash memory read setup time must elapse before the flash memory is read.
631
18.11 Notes on Ordering Masked ROM Version Chip
When ordering the H8/3048 Series chips with a masked ROM, note the following. * * When ordering through an EPROM, use a 128-kbyte one. Fill all the unused addresses with H'FF as shown in figure 18-33 to make the ROM data size 128 kbytes for all H8/3048 Series chips, which incorporate different sizes of ROM. This applies to ordering through an EPROM and through electrical data transfer.
HD6433048 (ROM: 128 kbytes) Address: H'00000-1FFFF H'00000
HD6433047 (ROM: 96 kbytes) Address: H'00000-17FFF H'00000
HD6433045 (ROM: 64 kbytes) Address: H'00000-0FFFF H'00000
HD6433044 (ROM: 32 kbytes) Address: H'00000-07FFF H'00000
H'07FFF H'08000
H'0FFFF H'10000 Not used* H'17FFF H'18000 Not used* H'1FFFF H'1FFFF H'1FFFF H'1FFFF Not used*
Note: * Program H'FF to all addresses in these areas.
Figure 18-33 Masked ROM Addresses and Data
632
Section 19 Clock Pulse Generator
19.1 Overview
The H8/3048 Series has a built-in clock pulse generator (CPG) that generates the system clock (o) and other internal clock signals (o/2 to o/4096). After duty adjustment, a frequency divider divides the clock frequency to generate the system clock (o). The system clock is output at the o pin*1 and furnished as a master clock to prescalers that supply clock signals to the on-chip supporting modules. Frequency division ratios of 1/1, 1/2, 1/4, and 1/8 can be selected for the frequency divider by settings in a division control register (DIVCR). Power consumption in the chip is reduced in almost direct proportion to the frequency division ratio*2. Notes: 1. Usage of the o pin differs depending on the chip operating mode and the PSTOP bit setting in the module standby control register (MSTCR). For details, see section 20.7, System Clock Output Disabling Function. 2. The division ratio of the frequency divider can be changed dynamically during operation. The clock output at the o pin also changes when the division ratio is changed. The frequency output at the o pin is shown below. o = EXTAL x n where, EXTAL: Frequency of crystal resonator or external clock signal n: Frequency division ratio (n = 1/1, 1/2, 1/4, or 1/8)
19.1.1 Block Diagram Figure 19-1 shows a block diagram of the clock pulse generator.
CPG XTAL Oscillator EXTAL
Duty adjustment circuit
Frequency divider
o
Prescalers
Division control register
Data bus o pin o/2 to o/4096
Figure 19-1 Block Diagram of Clock Pulse Generator
633
19.2 Oscillator Circuit
Clock pulses can be supplied by connecting a crystal resonator, or by input of an external clock signal. 19.2.1 Connecting a Crystal Resonator Circuit Configuration: A crystal resonator can be connected as in the example in figure 19-2. The damping resistance Rd should be selected according to table 19-1. An AT-cut parallelresonance crystal should be used.
C L1 EXTAL
XTAL Rd C L2 C L1 = C L2 = 10 pF to 22 pF
Figure 19-2 Connection of Crystal Resonator (Example) Table 19-1 Damping Resistance Value
Damping Resistance Value Rd () For products listed below* HD64F3048 Frequency f (MHz) 2 1k 1k 2Note: A crystal resonator between 2 MHz and 18 MHz (between 2 MHz and 16 MHz for the flash memory version) can be used. If the chip is to be operated at less than 2 MHz, the on-chip frequency divider should be used. (A crystal resonator of less than 2 MHz cannot be used.) * HD6473048, HD6433048, HD6433047, HD6433045, HD6433044
Crystal Resonator: Figure 19-3 shows an equivalent circuit of the crystal resonator. The crystal resonator should have the characteristics listed in table 19-2.
634
CL L XTAL Rs EXTAL
C0
AT-cut parallel-resonance type
Figure 19-3 Crystal Resonator Equivalent Circuit Table 19-2 Crystal Resonator Parameters
Frequency (MHz) Rs max () Co (pF) 2 500 4 120 8 80 10 70 7 pF max 12 60 16 50 18 40
Use a crystal resonator with a frequency equal to the system clock frequency (o). Notes on Board Design: When a crystal resonator is connected, the following points should be noted: Other signal lines should be routed away from the oscillator circuit to prevent induction from interfering with correct oscillation. See figure 19-4. When the board is designed, the crystal resonator and its load capacitors should be placed as close as possible to the XTAL and EXTAL pins.
Avoid C L2
Signal A
Signal B H8/3048 Series XTAL
EXTAL C L1
Figure 19-4 Example of Incorrect Board Design
635
19.2.2 External Clock Input Circuit Configuration: An external clock signal can be input as shown in the examples in figure 19-5. If the XTAL pin is left open, the stray capacitance should not exceed 10 pF. If the stray capacitance at the XTAL pin exceeds 10 pF in configuration a, use configuration b instead and hold the clock high in standby mode.
EXTAL
External clock input
XTAL
Open
a. XTAL pin left open
EXTAL
External clock input
XTAL
74HC04
b. Complementary clock input at XTAL pin
Figure 19-5 External Clock Input (Examples)
636
External Clock: The external clock frequency should be equal to the system clock frequency (o) when not divided by the on-chip frequency divider. Table 19-3, figures 19-6 and 19-7 indicate the clock timing. When the appropriate external clock is input via the EXTAL pin, its waveform is corrected by the on-chip oscillator and duty adjustment circuit. The resulting stable clock is output to external devices after the external clock settling time (tDEXT) has passed after the clock input. The system must remain reset with the reset signal low during tDEXT, while the clock output is unstable. Table 19-3 Clock Timing
VCC = 2.7 V to 5.5 V Item External clock input low pulse width External clock input high pulse width External clock rise time External clock fall time Clock low pulse width Clock high pulse width External clock output settling delay time Symbol tEXL tEXH tEXr tEXf tCL tCH tDEXT* Min 40 40 -- -- 0.4 80 0.4 80 500 Max -- -- 10 10 0.6 -- 0.6 -- -- VCC = 5.0 V 10% Min 20 20 -- -- 0.4 80 0.4 80 500 Max -- -- 5 5 0.6 -- 0.6 -- -- Unit Test Conditions ns ns ns ns tcyc ns tcyc ns s o 5 MHz o < 5 MHz o 5 MHz o < 5 MHz Figure 19-7 Figure 21-7 Figure 19-6
Note: * tDEXT includes 10 tcyc of RES (tRESW).
637
tEXH VCC x 0.7 EXTAL 0.3 V tEXr tEXf
tEXL
VCC x 0.5
Figure 19-6 External Clock Input Timing
VCC 2.7 V
STBY EXTAL
VIH
o (internal or external) RES tDEXT* Note: * tDEXT includes 10 tcyc of RES (tRESW).
Figure 19-7 External Clock Output Settling Delay Timing
638
19.3 Duty Adjustment Circuit
When the oscillator frequency is 5 MHz or higher, the duty adjustment circuit adjusts the duty cycle of the clock signal from the oscillator to generate the signal that becomes the system clock.
19.4 Prescalers
The prescalers divide the system clock (o) to generate internal clocks (o/2 to o/4096).
19.5 Frequency Divider
The frequency divider divides the duty-adjusted clock signal to generate the system clock (o). The frequency division ratio can be changed dynamically by modifying the value in DIVCR, as described below. Power consumption in the chip is reduced in almost direct proportion to the frequency division ratio. The system clock generated by the frequency divider can be output at the o pin. 19.5.1 Register Configuration Table 19-4 summarizes the frequency division register. Table 19-4 Frequency Division Register
Address* H'FF5D Name Division control register Abbreviation DIVCR R/W R/W Initial Value H'FC
Note: * The lower 16 bits of the address are shown.
19.5.2 Division Control Register (DIVCR) DIVCR is an 8-bit readable/writable register that selects the division ratio of the frequency divider.
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 DIV1 0 R/W 0 DIV0 0 R/W
Reserved bits Divide bits 1 and 0 These bits select the frequency division ratio
DIVCR is initialized to H'FC by a reset and in hardware standby mode. It is not initialized in software standby mode.
639
Bits 7 to 2--Reserved: Read-only bits, always read as 1. Bits 1 and 0--Divide (DIV1 and DIV0): These bits select the frequency division ratio, as follows.
Bit 1 DIV1 0 0 1 1 Bit 0 DIV0 0 1 0 1 Frequency Division Ratio 1/1 1/2 1/4 1/8 (Initial value)
19.5.3 Usage Notes The DIVCR setting changes the o frequency, so note the following points. * Select a frequency division ratio that stays within the assured operation range specified for the clock cycle time tcyc in the AC electrical characteristics. Note that oMIN = 1 MHz. Avoid settings that give system clock frequencies less than 1 MHz. All on-chip module operations are based on o. Note that the timing of timer operations, serial communication, and other time-dependent processing differs before and after any change in the division ratio. The waiting time for exit from software standby mode also changes when the division ratio is changed. For details, see section 20.4.3, Selection of Waiting Time for Exit from Software Standby Mode.
*
640
Section 20 Power-Down State
20.1 Overview
The H8/3048 Series has a power-down state that greatly reduces power consumption by halting the CPU, and a module standby function that reduces power consumption by selectively halting on-chip modules. The power-down state includes the following three modes: * * * Sleep mode Software standby mode Hardware standby mode
The module standby function can halt on-chip supporting modules independently of the powerdown state. The modules that can be halted are the ITU, SCI0, SCI1, DMAC, refresh controller, and A/D converter. Table 20-1 indicates the methods of entering and exiting the power-down modes and module standby mode, and gives the status of the CPU and on-chip supporting modules in each mode.
641
Table 20-1 Power-Down State and Module Standby Function
State Entering Mode Sleep mode Conditions Clock CPU Halted CPU Registers DMAC Held Active Refresh Controller ITU Active Active SCI0 Active SCI1 Active A/D Active Other Modules RAM Active Held o clock output o output I/O Ports Held Exiting Conditions * Interrupt * RES * STBY
SLEEP instruc- Active tion executed while SSBY = 0 in SYSCR
Software standby mode
SLEEP instruc- Halted tion executed while SSBY = 1 in SYSCR
Halted
Held
Halted and reset
Halted and held*1
Halted and reset
Halted and reset
Halted and reset
Halted and reset
Halted and reset
Held
High output
Held
* NMI * IRQ0 to IRQ2 * RES * STBY
Hardware Low input at standby mode Module standby Corresponding bit set to 1 in MSTCR STBY pin
Halted
Halted
Undetermined
Halted and reset
Halted and reset Halted*2 and held*1
Halted and reset
Halted and reset
Halted and reset Halted*2 and reset
Halted and reset Halted*2 and reset
Halted and reset Active
Held*3
High impedance
High impedance
* STBY * RES
642
Active
Active
--
Halted*2 and reset
Halted*2 Halted*2 and reset and reset
--
High impedance*2
* STBY * RES * Clear MSTCR bit to 0*4
Notes: 1. RTCNT and bits 7 and 6 of RTMCSR are initialized. Other bits and registers hold their previous states. 2. State in which the corresponding MSTCR bit was set to 1. For details see section 20.2.2, Module Standby Control Register (MSTCR). 3. The RAME bit must be cleared to 0 in SYSCR before the transition from the program execution state to hardware standby mode. 4. When a MSTCR bit is set to 1, the registers of the corresponding on-chip supporting module are initialized. To restart the module, first clear the MSTCR bit to 0, then set up the module registers again. Legend SYSCR: System control register SSBY: Software standby bit MSTCR: Module standby control register
20.2 Register Configuration
The H8/3048 Series has a system control register (SYSCR) that controls the power-down state, and a module standby control register (MSTCR) that controls the module standby function. Table 20-2 summarizes these registers. Table 20-2 Control Register
Address* H'FFF2 H'FF5E Name System control register Module standby control register Abbreviation SYSCR MSTCR R/W R/W R/W Initial Value H'0B H'40
Note: * Lower 16 bits of the address.
20.2.1 System Control Register (SYSCR)
Bit Initial value Read/Write 7 SSBY 0 R/W 6 STS2 0 R/W 5 STS1 0 R/W 4 STS0 0 R/W 3 UE 1 R/W 2 NMIEG 0 R/W 1 -- 1 -- 0 RAME 1 R/W RAM enable
Reserved bit NMI edge select User bit enable Standby timer select 2 to 0 These bits select the waiting time at exit from software standby mode Software standby Enables transition to software standby mode
SYSCR is an 8-bit readable/writable register. Bit 7 (SSBY) and bits 6 to 4 (STS2 to STS0) control the power-down state. For information on the other SYSCR bits, see section 3.3, System Control Register (SYSCR).
643
Bit 7--Software Standby (SSBY): Enables transition to software standby mode. When software standby mode is exited by an external interrupt, this bit remains set to 1 after the return to normal operation. To clear this bit, write 0.
Bit 7 SSBY 0 1 Description SLEEP instruction causes transition to sleep mode SLEEP instruction causes transition to software standby mode (Initial value)
Bits 6 to 4--Standby Timer Select (STS2 to STS0): These bits select the length of time the CPU and on-chip supporting modules wait for the clock to settle when software standby mode is exited by an external interrupt. If the clock is generated by a crystal resonator, set these bits according to the clock frequency so that the waiting time will be at least 7 ms. See table 20-3. If an external clock is used, any setting is permitted.
Bit 6 STS2 0 Bit 5 STS1 0 Bit 4 STS0 0 1 1 0 1 1 1 1 0 0 1 0 1 -- Description Waiting time = 8,192 states Waiting time = 16,384 states Waiting time = 32,768 states Waiting time = 65,536 states Waiting time = 131,072 states Waiting time = 1,024 states Illegal setting (Initial value)
644
20.2.2 Module Standby Control Register (MSTCR) MSTCR is an 8-bit readable/writable register that controls output of the system clock (o). It also controls the module standby function, which places individual on-chip supporting modules in the standby state. Module standby can be designated for the ITU, SCI0, SCI1, DMAC, refresh controller, and A/D converter modules.
Bit Initial value Read/Write 7 PSTOP 0 R/W 6 -- 1 -- 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
MSTOP5 MSTOP4 MSTOP3 MSTOP2 MSTOP1 MSTOP0
Reserved bit o clock stop Enables or disables output of the system clock
Module standby 5 to 0 These bits select modules to be placed in standby
MSTCR is initialized to H'40 by a reset and in hardware standby mode. It is not initialized in software standby mode. Bit 7--o Clock Stop (PSTOP): Enables or disables output of the system clock (o).
Bit 1 PSTOP 0 1 Description System clock output is enabled System clock output is disabled (Initial value)
Bit 6--Reserved: Read-only bit, always read as 1. Bit 5--Module Standby 5 (MSTOP5): Selects whether to place the ITU in standby.
Bit 5 MSTOP5 Description 0 1 ITU operates normally ITU is in standby state (Initial value)
645
Bit 4--Module Standby 4 (MSTOP4): Selects whether to place SCI0 in standby.
Bit 4 MSTOP4 Description 0 1 SCI0 operates normally SCI0 is in standby state (Initial value)
Bit 3--Module Standby 3 (MSTOP3): Selects whether to place SCI1 in standby.
Bit 3 MSTOP3 Description 0 1 SCI1 operates normally SCI1 is in standby state (Initial value)
Bit 2--Module Standby 2 (MSTOP2): Selects whether to place the DMAC in standby.
Bit 2 MSTOP2 Description 0 1 DMAC operates normally DMAC is in standby state (Initial value)
Bit 1--Module Standby 1 (MSTOP1): Selects whether to place the refresh controller in standby.
Bit 1 MSTOP1 Description 0 1 Refresh controller operates normally Refresh controller is in standby state (Initial value)
Bit 0--Module Standby 0 (MSTOP0): Selects whether to place the A/D converter in standby.
Bit 0 MSTOP0 Description 0 1 A/D converter operates normally A/D converter is in standby state (Initial value)
646
20.3 Sleep Mode
20.3.1 Transition to Sleep Mode When the SSBY bit is cleared to 0 in SYSCR, execution of the SLEEP instruction causes a transition from the program execution state to sleep mode. Immediately after executing the SLEEP instruction the CPU halts, but the contents of its internal registers are retained. The DMA controller (DMAC), refresh controller, and on-chip supporting modules do not halt in sleep mode. Modules which have been placed in standby by the module standby function, however, remain halted. 20.3.2 Exit from Sleep Mode Sleep mode is exited by an interrupt, or by input at the RES or STBY pin. Exit by Interrupt: An interrupt terminates sleep mode and causes a transition to the interrupt exception handling state. Sleep mode is not exited by an interrupt source in an on-chip supporting module if the interrupt is disabled in the on-chip supporting module. Sleep mode is not exited by an interrupt other than NMI if the interrupt is masked by the I and UI bits in CCR and IPR. Exit by RES Input: Low input at the RES pin exits from sleep mode to the reset state. Exit by STBY Input: Low input at the STBY pin exits from sleep mode to hardware standby mode.
647
20.4 Software Standby Mode
20.4.1 Transition to Software Standby Mode To enter software standby mode, execute the SLEEP instruction while the SSBY bit is set to 1 in SYSCR. In software standby mode, current dissipation is reduced to an extremely low level because the CPU, clock, and on-chip supporting modules all halt. The DMAC and on-chip supporting modules are reset. As long as the specified voltage is supplied, however, CPU register contents and on-chip RAM data are retained. The settings of the I/O ports and refresh controller* are also held. Note: * RTCNT and bits 7 and 6 of RTMCSR are initialized. Other bits and registers hold their previous states. 20.4.2 Exit from Software Standby Mode Software standby mode can be exited by input of an external interrupt at the NMI, IRQ0, IRQ1, or IRQ2 pin, or by input at the RES or STBY pin. Exit by Interrupt: When an NMI, IRQ0, IRQ1, or IRQ2 interrupt request signal is received, the clock oscillator begins operating. After the oscillator settling time selected by bits STS2 to STS0 in SYSCR, stable clock signals are supplied to the entire chip, software standby mode ends, and interrupt exception handling begins. Software standby mode is not exited if the interrupt enable bits of interrupts IRQ0, IRQ1, and IRQ2 are cleared to 0, or if these interrupts are masked in the CPU. Exit by RES Input: When the RES input goes low, the clock oscillator starts and clock pulses are supplied immediately to the entire chip. The RES signal must be held low long enough for the clock oscillator to stabilize. When RES goes high, the CPU starts reset exception handling. Exit by STBY Input: Low input at the STBY pin causes a transition to hardware standby mode.
648
20.4.3 Selection of Waiting Time for Exit from Software Standby Mode Bits STS2 to STS0 in SYSCR and bits DIV1 and DIV0 in DIVCR should be set as follows. Crystal Resonator: Set STS2 to STS0, DIV1, and DIV0 so that the waiting time (for the clock to stabilize) is at least 7 ms. Table 20-3 indicates the waiting times that are selected by STS2 to STS0, DIV1, and DIV0 settings at various system clock frequencies. External Clock: Any values may be set. Table 20-3 Clock Frequency and Waiting Time for Clock to Settle
DIV1 DIV0 STS2 STS1 STS0 Waiting Time 0 0 0 0 0 0 1 1 1 0 1 0 0 0 0 1 1 1 1 0 0 0 0 0 1 1 1 1 1 0 0 0 0 1 1 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 0 1 0 1 0 1 -- 0 1 0 1 0 1 -- 0 1 0 1 0 1 -- 0 1 0 1 0 1 -- 8192 states 16384 states 32768 states 65536 states 131072 states 1024 states Illegal setting 8192 states 16384 states 32768 states 65536 states 131072 states 1024 states Illegal setting 8192 states 16384 states 32768 states 65536 states 131072 states 1024 states Illegal setting 8192 states 16384 states 32768 states 65536 states 131072 states 1024 states Illegal setting 3.6 7.3 14.6 29.1 58.3 0.46 4.1 8.2 16.4 32.8 65.5 0.51 5.5 10.9 21.8 43.7 87.4 0.68 6.6 13.1 26.2 52.4 104.9 0.82 8.2 16.4 32.8 65.5 1.0 10.9 21.8 43.7 87.4 1.4 16.4 32.8 65.5 131.1 2.0 32.8 65.5 65.5 131.1 ms 1.8 3.6 7.3 14.6 29.1 0.23 2.0 4.1 8.2 16.4 32.8 0.26 2.7 5.5 10.9 21.8 43.7 0.34 3.3 6.6 13.1 26.2 52.4 0.41 4.1 8.2 16.4 32.8 65.5 0.51 5.5 10.9 21.8 43.7 87.4 0.68 8.2 16.4 32.8 65.5 131.1 1.02 16.4 32.8 65.5 32.8 65.5 131.1 ms 0.91 1.8 3.6 7.3 14.6 0.11 1.02 2.0 4.1 8.2 16.4 0.13 1.4 2.7 5.5 10.9 21.8 0.17 1.6 3.3 6.6 13.1 26.2 0.20 2.0 4.1 8.2 16.4 32.8 0.26 2.7 5.5 10.9 21.8 43.7 0.34 4.0 8.2 16.4 32.8 65.5 0.51 8.2 16.4 32.8 65.5 1.0 16.4 32.8 65.5 131.1 2.0 ms 18 MHz 16 MHz 12 MHz 10 MHz 8 MHz 6 MHz 4 MHz 2 MHz 1 MHz Unit 0.46 0.91 1.8 3.6 7.3 0.057 0.51 1.0 2.0 4.1 8.2 0.064 0.65 1.3 2.7 5.5 10.9 0.085 0.8 1.6 3.3 6.6 13.1 0.10 1.0 2.0 4.1 8.2 16.4 0.13 1.3 2.7 5.5 10.9 21.8 0.17 2.0 4.1 8.2 16.4 32.8 0.26 4.1 8.2 16.4 32.8 65.5 0.51 8.2 16.4 32.8 65.5 131.1 1.0 ms
131.1 262.1
131.1 262.1 262.1 524.3 2.0 4.1
131.1 262.1 262.1 524.3 524.3 1048.6 4.1 8.2
131.1 174.8 262.1
: Recommended setting
649
20.4.4 Sample Application of Software Standby Mode Figure 20-1 shows an example in which software standby mode is entered at the fall of NMI and exited at the rise of NMI. With the NMI edge select bit (NMIEG) cleared to 0 in SYSCR (selecting the falling edge), an NMI interrupt occurs. Next the NMIEG bit is set to 1 (selecting the rising edge) and the SSBY bit is set to 1; then the SLEEP instruction is executed to enter software standby mode. Software standby mode is exited at the next rising edge of the NMI signal.
Clock oscillator o NMI NMIEG SSBY
NMI interrupt handler NMIEG = 1 SSBY = 1
Software standby mode (powerdown state)
Oscillator settling time (tosc2)
NMI exception handling
SLEEP instruction
Figure 20-1 NMI Timing for Software Standby Mode (Example) 20.4.5 Note The I/O ports retain their existing states in software standby mode. If a port is in the high output state, its output current is not reduced.
650
20.5 Hardware Standby Mode
20.5.1 Transition to Hardware Standby Mode Regardless of its current state, the chip enters hardware standby mode whenever the STBY pin goes low. Hardware standby mode reduces power consumption drastically by halting all functions of the CPU, DMAC, refresh controller, and on-chip supporting modules. All modules are reset except the on-chip RAM. As long as the specified voltage is supplied, on-chip RAM data is retained. I/O ports are placed in the high-impedance state. Clear the RAME bit to 0 in SYSCR before STBY goes low to retain on-chip RAM data. The inputs at the mode pins (MD2 to MD0) should not be changed during hardware standby mode. 20.5.2 Exit from Hardware Standby Mode Hardware standby mode is exited by inputs at the STBY and RES pins. While RES is low, when STBY goes high, the clock oscillator starts running. RES should be held low long enough for the clock oscillator to settle. When RES goes high, reset exception handling begins, followed by a transition to the program execution state. 20.5.3 Timing for Hardware Standby Mode Figure 20-2 shows the timing relationships for hardware standby mode. To enter hardware standby mode, first drive RES low, then drive STBY low. To exit hardware standby mode, first drive STBY high, wait for the clock to settle, then bring RES from low to high.
Clock oscillator RES
STBY
Oscillator settling time Reset exception handling
Figure 20-2 Hardware Standby Mode Timing
651
20.6 Module Standby Function
20.6.1 Module Standby Timing The module standby function can halt several of the on-chip supporting modules (the ITU, SCI0, SCI1, DMAC, refresh controller, and A/D converter) independently of the power-down state. This standby function is controlled by bits MSTOP5 to MSTOP0 in MSTCR. When one of these bits is set to 1, the corresponding on-chip supporting module is placed in standby and halts at the beginning of the next bus cycle after the MSTCR write cycle. 20.6.2 Read/Write in Module Standby When an on-chip supporting module is in module standby, read/write access to its registers is disabled. Read access always results in H'FF data. Write access is ignored. 20.6.3 Usage Notes When using the module standby function, note the following points. DMAC and Refresh Controller: When setting bit MSTOP2 or MSTOP1 to 1 to place the DMAC or refresh controller in module standby, make sure that the DMAC or refresh controller is not currently requesting the bus right. If bit MSTOP2 or MSTOP1 is set to 1 when a bus request is present, operation of the bus arbiter becomes ambiguous and a malfunction may occur. Internal Peripheral Module Interrupt: When MSTCR is set to "1", prevent module interrupt in advance. When an on-chip supporting module is placed in standby by the module standby function, its registers are initialized. Pin States: Pins used by an on-chip supporting module lose their module functions when the module is placed in module standby. What happens after that depends on the particular pin. For details, see section 9, I/O Ports. Pins that change from the input to the output state require special care. For example, if SCI1 is placed in module standby, the receive data pin loses its receive data function and becomes a generic I/O pin. If its data direction bit is set to 1, the pin becomes a data output pin, and its output may collide with external serial data. Data collisions should be prevented by clearing the data direction bit to 0 or taking other appropriate action. Register Resetting: When an on-chip supporting module is halted by the module standby function, all its registers are initialized. To restart the module, after its MSTOP bit is cleared to 0, its registers must be set up again. It is not possible to write to the registers while the MSTOP bit is set to 1. MSTCR Access from DMAC Disabled: To prevent malfunctions, MSTCR can only be accessed from the CPU. It can be read by the DMAC, but it cannot be written by the DMAC.
652
20.7 System Clock Output Disabling Function
Output of the system clock (o) can be controlled by the PSTOP bit in MSTCR. When the PSTOP bit is set to 1, output of the system clock halts and the o pin is placed in the high-impedance state. Figure 20-3 shows the timing of the stopping and starting of system clock output. When the PSTOP bit is cleared to 0, output of the system clock is enabled. Table 20-4 indicates the state of the o pin in various operating states.
MSTCR write cycle (PSTOP = 1) T1 o pin High impedance T2 T3 MSTCR write cycle (PSTOP = 0) T1 T2 T3
Figure 20-3 Starting and Stopping of System Clock Output Table 20-4 o Pin State in Various Operating States
Operating State Hardware standby Software standby Sleep mode Normal operation PSTOP = 0 High impedance Always high System clock output System clock output PSTOP = 1 High impedance High impedance High impedance High impedance
653
Section 21 Electrical Characteristics
21.1 Absolute Maximum Ratings
Table 21-1 lists the absolute maximum ratings. Table 21-1 Absolute Maximum Ratings
Item Power supply voltage Programming voltage HD6473048 HD64F3048 Input voltage (except for MD2 and port 7 Input voltage (MD2) HD6473048, HD6433048, HD6433047, HD6433045, HD6433044 HD64F3048 Input voltage (port 7) Reference voltage Analog power supply voltage Analog input voltage Operating temperature Vin VREF AVCC VAN Topr Tstg Vin Symbol VCC VPP Value -0.3 to +7.0 -0.3 to +13.5 -0.3 to +13.0 -0.3 to VCC + 0.3 Unit V V V V
Vin
-0.3 to VCC + 0.3
V
-0.3 to +13.0 -0.3 to AVCC + 0.3 -0.3 to AVCC + 0.3 -0.3 to +7.0 -0.3 to AVCC + 0.3 Regular specifications: -20 to +75 Wide-range specifications: -40 to +85
V V V V V C C C
Storage temperature
-55 to +125
Caution: Permanent damage to the chip may result if absolute maximum ratings are exceeded. Particularly, insure that peak overshoot at the VPP and MD2 pins does not exceed 13 V.
655
21.2 Electrical Characteristics of Masked ROM and PROM Versions
21.2.1 DC Characteristics Table 21-2 lists the DC characteristics. Table 21-3 lists the permissible output currents. Table 21-2 DC Characteristics Conditions: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Schmitt trigger input voltages Input high voltage Port A, P80 to P82, PB0 to PB3 RES, STBY, NMI, MD2 to MD0 EXTAL Port 7 Ports 1, 2, 3, 4, 5, 6, 9, P83, P84, PB4 to PB7 Input low voltage RES, STBY, MD2 to MD0 NMI, EXTAL, ports 1, 2, 3, 4, 5, 6, 7, 9, P83, P84, PB4 to PB7 Output high voltage Output low voltage All output pins VOH (except RESO) All output pins VOL (except RESO) Ports 1, 2, 5, and B RESO VIL Symbol VT VT
- + + -
Min 1.0 -- 0.4
Typ -- -- --
Max --
Unit Test Conditions V
VCC x 0.7 V -- V VCC + 0.3 V
VT - VT VIH
VCC - 0.7 --
VCC x 0.7 -- 2.0 2.0 -- --
VCC + 0.3 V AVCC + 0.3 V VCC + 0.3 V
-0.3 -0.3
-- --
0.5 0.8
V V
VCC - 0.5 -- 3.5 -- -- -- -- -- -- --
-- -- 0.4 1.0 0.4
V V V V V
IOH = -200 A IOH = -1 mA IOL = 1.6 mA IOL = 10 mA IOL = 2.6 mA
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS.
656
Table 21-2 DC Characteristics (cont) Conditions: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC , VSS = AVSS = 0 V*1, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Input leakage STBY, NMI, current RES, MD2 to MD0 Port 7 Three-state leakage current (off state) Ports 1, 2, 3, 4, 5, 6, 8 to B RESO -IP CIN |ITS1| Symbol |IIN| Min -- Typ -- Max 1.0 Unit A Test Conditions VIN = 0.5 to VCC - 0.5 V VIN = 0.5 to AVCC - 0.5 V VIN = 0.5 to VCC - 0.5 V
-- --
-- --
1.0 1.0
A A
-- 50 -- -- ICC -- -- -- --
-- -- -- -- 50 55 35 40 20 25 0.01 --
10.0 300 50 15 65 75 50 55 25 27 5.0 20.0
A A pF pF mA mA mA mA mA mA A A VIN = 0 V VIN = 0 V f = 1 MHz Ta = 25C f = 16 MHz f = 18 MHz f = 16 MHz f = 18 MHz f = 16 MHz f = 18 MHz Ta 50C 50C < Ta
Input pull-up Ports 2, current 4, and 5 Input NMI capacitance All input pins except NMI Current Normal dissipation*2 operation Sleep mode
Module standby mode*4 Standby mode*3
-- -- -- --
Notes: 1. If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS. 2. Current dissipation values are for VIHmin = VCC - 0.5 V and VILmax = 0.5 V with all output pins unloaded and the on-chip pull-up transistors in the off state. 3. The values are for VRAM VCC < 4.5 V, VIHmin = VCC x 0.9, and VILmax = 0.3 V. 4. Module standby current values apply in sleep mode with all modules halted.
657
Table 21-2 DC Characteristics (cont) Conditions: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Analog power During A/D supply current conversion During A/D and D/A conversion Idle Reference current During A/D conversion During A/D and D/A conversion Idle RAM standby voltage VRAM AICC Symbol AICC Min -- -- Typ 1.2 1.2 Max 2.0 2.0 Unit Test Conditions mA mA
-- -- --
0.01 0.3 1.3
5.0 0.6 3.0
A mA mA
DASTE = 0 VREF = 5.0 V
-- 2.0
0.01 --
5.0 --
A V
DASTE = 0
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS.
658
Table 21-2 DC Characteristics (cont) Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Schmitt trigger input voltages Input high voltage Port A, P80 to P82, PB0 to PB3 RES, STBY, NMI, MD2 to MD0 EXTAL Port 7 Ports 1, 2, 3,4, 5, 6, 9, P83, P84, PB4 to PB7 Input low voltage RES, STBY, MD2 to MD0 NMI, EXTAL, ports 1, 2, 3, 4, 5, 6, 7, 9, P83, P84 PB4 to PB7 Output high voltage Output low voltage All output pins VOH (except RESO) All output pins VOL (except RESO) Ports 1, 2, 5, and B VIL Symbol VT- VT+ VIH Min VCC x 0.2 -- VCC x 0.9 Typ -- -- Max -- VCC x 0.7 -- VCC + 0.3 Unit Test Conditions V V V V
VT+ - VT- VCC x 0.07 -- --
VCC x 0.7 VCC x 0.7 VCC x 0.7
-- -- --
VCC + 0.3 VCC + 0.3
V
AVCC + 0.3 V V
-0.3 -0.3
-- --
VCC x 0.1 V VCC x 0.2 V VCC < 4.0 V
0.8 VCC - 0.5 -- VCC - 1.0 -- -- -- -- -- -- -- 0.4 1.0
V V V V V
VCC = 4.0 V to 5.5 V IOH = -200 A IOH = -1 mA IOL = 1.6 mA VCC 4 V IOL = 5 mA, 4 V < VCC 5.5 V IOL = 10 mA IOL = 1.6 mA VIN = 0.5 to VCC - 0.5 V VIN = 0.5 to AVCC - 0.5 V
RESO Input leakage STBY, NMI, current RES, MD2 to MD0 Port 7 |IIN|
-- --
-- --
0.4 1.0
V A
--
--
1.0
A
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS. 659
Table 21-2 DC Characteristics (cont) Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V*1, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Three-state leakage current (off state) Ports 1, 2, 3, 4, 5, 6, 8 to B RESO -IP CIN Symbol |ITS1| Min -- Typ -- Max 1.0 Unit A Test Conditions VIN = 0.5 to VCC - 0.5 V
-- 10 -- -- ICC*4 -- --
-- -- -- -- 12 (3.0 V) 20 (3.3 V) 8 (3.0 V) 12 (3.3 V) 5 (3.0 V) 7 (3.3 V) 0.01 --
10.0 300 50 15 35 (5.5 V) 55 (5.5 V) 25 (5.5 V) 40 (5.5 V) 14 (5.5 V) 20 (5.5 V) 5.0 20.0
A A pF VCC = 2.7 V to 5.5 V, VIN = 0 V VIN = 0 V f = 1 MHz Ta = 25C f = 8 MHz f = 13 MHz (VCC = 3.15 V to 5.5 V) f = 8 MHz f = 13 MHz (VCC = 3.15 V to 5.5 V) f = 8 MHz 13 MHz (VCC = 3.15 V to 5.5 V) Ta 50C 50C < Ta
Input pull-up Ports 2, current 4, and 5 NMI Input capacitance All input pins except NMI Current Normal dissipation*2 operation
mA mA
Sleep mode
-- --
mA mA
Module standby mode*5
-- --
mA mA
Standby mode*3
-- --
A A
Notes: 1. If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS. 2. Current dissipation values are for VIHmin = VCC - 0.5 V and VILmax = 0.5 V with all output pins unloaded and the on-chip pull-up transistors in the off state. 3. The values are for VRAM VCC < 2.7 V, VIHmin = VCC x 0.9, and VILmax = 0.3 V. 4. ICC depends on VCC and f as follows: ICCmax = 3.0 (mA) + 0.75 (mA/MHz * V) x VCC x f [normal mode] ICCmax = 3.0 (mA) + 0.55 (mA/MHz * V) x VCC x f [sleep mode] ICCmax = 3.0 (mA) + 0.25 (mA/MHz * V) x VCC x f [module standby mode] 5. Module standby current values apply in sleep mode with all modules halted.
660
Table 21-2 DC Characteristics (cont) Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Analog power supply current During A/D conversion During A/D and D/A conversion Idle Reference current During A/D conversion During A/D and D/A conversion Idle RAM standby voltage VRAM AICC Symbol AICC Min -- -- -- -- -- -- -- -- -- -- 2.0 Typ 0.4 1.2 0.4 1.2 0.01 0.2 0.3 0.8 1.3 0.01 -- Max 1.0 -- 1.0 -- 5.0 0.4 -- 2.0 -- 5.0 -- Unit mA mA mA mA A mA mA mA mA A V Test Conditions AVCC = 3.0 V AVCC = 5.0 V AVCC = 3.0 V AVCC = 5.0 V DASTE = 0 VREF = 3.0 V VREF = 5.0 V VREF = 3.0 V VREF = 5.0 V DASTE = 0
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS.
661
Table 21-3 Permissible Output Currents Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Permissible output low current (per pin) Permissible output low current (total) Ports 1, 2, 5, and B Other output pins Total of 28 pins in ports 1, 2, 5, and B Total of all output pins, including the above Permissible output high current (per pin) Permissible output high current (total) All output pins Total of all output pins IOH IOH IOL Symbol IOL Min -- -- -- -- -- -- Typ -- -- -- -- -- -- Max 10 2.0 80 120 2.0 40 Unit mA mA mA mA mA mA
Notes: 1. To protect chip reliability, do not exceed the output current values in table 21-3. 2. When driving a darlington pair or LED, always insert a current-limiting resistor in the output line, as shown in figures 21-1 and 21-2.
662
H8/3048 Series 2 k Port
Darlington pair
Figure 21-1 Darlington Pair Drive Circuit (Example)
H8/3048 Series
Ports 1, 2, 5, and B
600
LED
Figure 21-2 LED Drive Circuit (Example)
663
21.2.2 AC Characteristics Bus timing parameters are listed in table 21-4. Refresh controller bus timing parameters are listed in table 21-5. Control signal timing parameters are listed in table 21-6. Timing parameters of the on-chip supporting modules are listed in table 21-7. Table 21-4 Bus Timing (1) Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A Condition B 8 MHz Item Clock cycle time Clock pulse low width Clock pulse high width Clock rise time Clock fall time Address delay time Address hold time Address strobe delay time Write strobe delay time Strobe delay time Symbol Min tCYC tCL tCH tCR tCF tAD tAH tASD tWSD tSD 125 40 40 -- -- -- 25 -- -- -- 85 150 20 80 50 0 Max 13 MHz Min Max Condition C 16 MHz Min Max 18 MHz Min Max Test Unit Conditions Figure 21-7, Figure 21-8
1000 76.9 -- -- 20 20 60 -- 60 60 60 -- -- -- -- -- -- 20 20 -- -- -- 20 -- -- -- 40 90 15 45 30 0
1000 62.5 -- -- 15 15 50 -- 50 50 50 -- -- -- -- -- -- 20 20 -- -- -- 10 -- -- -- 35 65 10 40 20 0
1000 55.5 -- -- 10 10 30 -- 30 30 30 -- -- -- -- -- -- 17 17 -- -- -- 10 -- -- -- 32 62 10 38 15 0
1000 ns -- -- 10 10 25 -- 25 25 25 -- -- -- -- -- --
Write data strobe pulse tWSW1* width 1 Write data strobe pulse tWSW2* width 2 Address setup time 1 Address setup time 2 Read data setup time Read data hold time tAS1 tAS2 tRDS tRDH
664
Table 21-4 Bus Timing (cont) Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A Condition B 8 MHz Item Write data delay time Symbol Min tWDD -- 60 5 25 -- -- -- -- 85 40 10 40 -- -- -- Max 75 -- -- -- 120 240 70 180 -- -- -- -- 60 60 70 13 MHz Min -- 20 -10 15 -- -- -- -- 55 40 10 40 -- -- -- Max 75 -- -- -- 60 140 30 100 -- -- -- -- 50 50 70 Condition C 16 MHz Min -- 15 -5 20 -- -- -- -- 45 25 5 40 -- -- -- Max 60 -- -- -- 60 120 30 95 -- -- -- -- 30 30 40 18 MHz Min -- 10 -10 20 -- -- -- -- 40 25 5 40 -- -- -- Max 55 -- -- -- 50 105 20 80 -- -- -- -- 30 30 40 ns Figure 21-21 ns Figure 21-9 Test Unit Conditions ns Figure 21-7, Figure 21-8
Write data setup time 1 tWDS1 Write data setup time 2 tWDS2 Write data hold time Read data access time 1 Read data access time 2 Read data access time 3 Read data access time 4 Precharge time Wait setup time Wait hold time Bus request setup ime Bus acknowledge delay time 1 Bus acknowledge delay time 2 Bus-floating time tWDH tACC1* tACC2* tACC3* tACC4* tPCH* tWTS tWTH tBRQS tBACD1 tBACD2 tBZD
Note is on next page.
665
Note: At 8 MHz, the times below depend as indicated on the clock cycle time. tACC1 = 1.5 x tCYC - 68 (ns) tWSW1 = 1.0 x tCYC - 40 (ns) tACC2 = 2.5 x tCYC - 73 (ns) tWSW2 = 1.5 x tCYC - 38 (ns) tACC3 = 1.0 x tCYC - 55 (ns) tPCH = 1.0 x tCYC - 40 (ns) tACC4 = 2.0 x tCYC - 70 (ns) At 13 MHz, the times below depend as indicated on the clock cycle time. tACC1 = 1.5 x tCYC - 56 (ns) tWSW1 = 1.0 x tCYC - 37 (ns) tACC2 = 2.5 x tCYC - 53 (ns) tWSW2 = 1.5 x tCYC - 26 (ns) tACC3 = 1.0 x tCYC - 47 (ns) tPCH = 1.0 x tCYC - 32 (ns) tACC4 = 2.0 x tCYC - 54 (ns) At 16 MHz, the times below depend as indicated on the clock cycle time. tACC1 = 1.5 x tCYC - 34 (ns) tWSW1 = 1.0 x tCYC - 28 (ns) tACC2 = 2.5 x tCYC - 37 (ns) tWSW2 = 1.5 x tCYC - 29 (ns) tACC3 = 1.0 x tCYC - 33 (ns) tPCH = 1.0 x tCYC - 28 (ns) tACC4 = 2.0 x tCYC - 30 (ns) At 18 MHz, the times below depend as indicated on the clock cycle time. tACC1 = 1.5 x tCYC - 34 (ns) tWSW1 = 1.0 x tCYC - 24 (ns) tACC2 = 2.5 x tCYC - 34 (ns) tWSW2 = 1.5 x tCYC - 22 (ns) tACC3 = 1.0 x tCYC - 36 (ns) tPCH = 1.0 x tCYC - 21 (ns) tACC4 = 2.0 x tCYC - 31 (ns)
666
Table 21-5 Refresh Controller Bus Timing Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A Condition B 8 MHz Item RAS delay time 1 RAS delay time 2 RAS delay time 3 RAS precharge time* Symbol Min tRAD1 tRAD2 tRAD3 tRP -- -- -- 25 85 85 100 -- -- -- 50 20 -- Max 60 60 60 -- -- -- -- 160 105 50 -- -- 60 13 MHz Min -- -- -- 20 55 55 55 -- -- -- 20 10 -- Max 50 50 50 -- -- -- -- 80 45 30 -- -- 50 Condition C 16 MHz Min -- -- -- 15 45 45 40 -- -- -- 15 15 -- Max 30 30 30 -- -- -- -- 85 55 30 -- -- 30 18 MHz Min -- -- -- 15 40 40 35 -- -- -- 10 10 -- Max 30 30 30 -- -- -- -- 70 45 25 -- -- 30 Test Unit Conditions ns Figure 21-10 to Figure 21-16
Row address hold time* tRAH CAS to RAS precharge tCRP time* CAS pulse width RAS access time* Address access time CAS access time* CAS setup time* tCAS tRAC tAA tCAC tCSR
Write data setup time 3 tWDS3 Read strobe delay time tRSD
Note is on next page.
667
Note: At 8 MHz, the times below depend as indicated on the clock cycle time. tRAH = 0.5 x tCYC - 38 (ns) tCAC = 1.0 x tCYC - 75 (ns) tRAC = 2.0 x tCYC - 90 (ns) tCSR = 0.5 x tCYC - 43 (ns) tRP = tCRP = 1.0 x tCYC - 40 (ns) At 13 MHz, the times below depend as indicated on the clock cycle time. tRAH = 0.5 x tCYC - 19 (ns) tCAC = 1.0 x tCYC - 47 (ns) tRAC = 2.0 x tCYC - 74 (ns) tCSR = 0.5 x tCYC - 29 (ns) tRP = tCRP = 1.0 x tCYC - 22 (ns) At 16 MHz, the times below depend as indicated on the clock cycle time. tRAH = 0.5 x tCYC - 17 (ns) tCAC = 1.0 x tCYC - 33 (ns) tRAC = 2.0 x tCYC - 40 (ns) tCSR = 0.5 x tCYC - 17 (ns) tRP = tCRP = 1.0 x tCYC - 18 (ns) At 18 MHz, the times below depend as indicated on the clock cycle time. tRAH = 0.5 x tCYC - 13 (ns) tCAC = 1.0 x tCYC - 31 (ns) tRAC = 2.0 x tCYC - 41 (ns) tCSR = 0.5 x tCYC - 18 (ns) tRP = tCRP = 1.0 x tCYC - 16 (ns)
668
Table 21-6 Control Signal Timing Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A Condition B 8 MHz Item RES setup time RES pulse width Mode programming setup time RESO output delay time RESO output pulse width NMI setup time (NMI, IRQ5 to IRQ0) NMI hold time (NMI, IRQ5 to IRQ0) Interrupt pulse width (NMI, IRQ2 to IRQ0 when exiting software standby mode) Clock oscillator settling time at reset (crystal) Symbol Min tRESS tRESW tMDS tRESD tRESOW tNMIS tNMIH tNMIW 200 10 200 -- 132 200 10 200 Max -- -- -- 100 -- -- -- -- 13 MHz Min 200 10 200 -- 132 200 10 200 Max -- -- -- 100 -- -- -- -- Condition C 16 MHz Min 200 10 200 -- 132 150 10 200 Max -- -- -- 100 -- -- -- -- 18 MHz Min 200 10 200 -- 132 150 10 200 Max -- -- -- 100 -- -- -- -- Test Unit Conditions ns tCYC ns ns tCYC ns Figure 21-20 Figure 21-19 Figure 21-18
tOSC1
20 7
-- --
20 7
-- --
20 7
-- --
20 7
-- --
ms ms
Figure 21-22 Figure 20-1
Clock oscillator settling tOSC2 time in software standby (crystal)
669
Table 21-7 Timing of On-Chip Supporting Modules Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A Condition B 8 MHz Item DMAC DREQ setup time DREQ hold time TEND delay time 1 TEND delay time 2 ITU Timer output delay time Timer input setup time Symbol Min tDRQS tDRQH tTED1 tTED2 tTOCD tTICS 40 10 -- -- -- 50 50 1.5 2.5 4 6 -- -- 0.4 Max -- -- 100 100 100 -- -- -- -- -- -- 1.5 1.5 0.6 13 MHz Min 40 10 -- -- -- 50 50 1.5 2.5 4 6 -- -- 0.4 Max -- -- 100 100 100 -- -- -- -- -- -- 1.5 1.5 0.6 Condition C 16 MHz Min 30 10 -- -- -- 50 50 1.5 2.5 4 6 -- -- 0.4 Max -- -- 50 50 100 -- -- -- -- -- -- 1.5 1.5 0.6 18 MHz Min 30 10 -- -- -- 50 50 1.5 2.5 4 6 -- -- 0.4 Max -- -- 50 50 100 -- -- -- -- -- -- 1.5 1.5 0.6 tSCYC tCYC Figure 21-26 tCYC Figure 21-25 ns Figure 21-24 Figure 21-28, Figure 21-29 Test Unit Conditions ns Figure 21-30
Timer clock tTCKS input setup time Timer Single clock edge pulse Both width edges SCI tTCKWH tTCKWL
Input AsyntSCYC clock chronous cycle SyntSCYC chronous Input clock rise tSCKr time Input clock fall time Input clock pulse width tSCKf tSCKW
670
Table 21-7 Timing of On-Chip Supporting Modules (cont) Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A Condition B 8 MHz Item SCI Transmit data delay time Receive data setup time (synchronous) 13 MHz Condition C 16 MHz 18 MHz Test Max Min Max Min Max Unit Conditions -- 100 -- 100 ns Figure 21-27
Symbol Min Max Min tTXD tRXS -- 100 -- 100
100 --
100 --
100 --
100 --
Receive data Clock input tRXH hold time Clock output (synchronous) Ports Output data and delay time TPC Input data setup time Input data hold time tPWD tPRS tPRH
100 -- 0 -- 50 50 -- 100 -- --
100 0 -- 50 50
-- -- 100 -- --
100 -- 0 -- 50 50 -- 100 -- --
100 -- 0 -- 50 50 -- 100 ns -- -- Figure 21-23
5V C = 90 pF: ports 4, 5, 6, 8, A (19 to 0), D (15 to 8), o RL H8/3048 Series output pin C = 30 pF: ports 9, A, B, RESO R L = 2.4 k R H = 12 k C RH Input/output timing measurement levels * Low: 0.8 V * High: 2.0 V
Figure 21-3 Output Load Circuit
671
21.2.3 A/D Conversion Characteristics Table 21-8 lists the A/D conversion characteristics. Table 21-8 A/D Converter Characteristics Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item Resolution Conversion time Analog input capacitance Min 10 -- -- Typ 10 -- -- -- -- -- -- -- -- -- Max 10 16.8 20 10*1 5*2 6.0 4.0 4.0 0.5 8.0 Min 10 -- -- -- -- -- -- -- -- -- Condition B 13 MHz Typ 10 -- -- -- -- -- -- -- -- -- Max 10 10.4 20 10*1 5*2 6.0 4.0 4.0 0.5 8.0 Min 10 -- -- -- -- -- -- -- -- -- 16 MHz Typ 10 -- -- -- -- -- -- -- -- -- Max 10 8.4 20 10*3 5*4 3.0 2.0 2.0 0.5 4.0 Min 10 -- -- -- -- -- -- -- -- -- Condition C 18 MHz Typ 10 -- -- -- -- -- -- -- -- -- Max Unit 10 7.5 20 bits s pF
Permissible signal- -- source impedance -- Nonlinearity error Offset error Full-scale error Quantization error Absolute accuracy -- -- -- -- --
10*3 k 5*4 3.0 LSB 2.0 LSB 2.0 LSB 0.5 LSB 4.0 LSB
Notes: 1. 2. 3. 4.
The value is for 4.0 AVCC 5.5. The value is for 2.7 AVCC 4.0. The value is for o 12 MHz. The value is for o > 12 MHz.
672
21.2.4 D/A Conversion Characteristics Table 21-9 lists the D/A conversion characteristics. Table 21-9 D/A Converter Characteristics Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition B: VCC = 3.15 V to 5.5 V, AVCC = 3.15 V to 5.5 V, VREF = 3.15 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 13 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 18 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item Resolution Min 8 Typ 8 -- Max 8 10 Min 8 -- -- -- Condition B 13 MHz Typ 8 -- Max 8 10 Min 8 -- -- -- 16 MHz Typ 8 -- Max 8 10 Min 8 -- -- -- Condition C 18 MHz Typ 8 -- Test Max Unit Conditions 8 10 Bits s 20-pF capacitive load
Conversion -- time Absolute accuracy -- --
2.0 3.0 -- 2.0
2.0 3.0 -- 2.0
1.0 1.5 -- 1.0
1.0 1.5 LSB 2-M resistive load -- 1.0 LSB 4-M resistive load
673
21.3 Electrical Characteristics of Flash Memory Version
21.3.1 DC Characteristics Table 21-10 lists the DC characteristics. Table 21-11 lists the permissible output currents. Table 21-10 DC Characteristics Conditions: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Schmitt trigger input voltages Input high voltage Port A, P80 to P82, PB0 to PB3 RES, STBY, NMI, MD2 to MD0 EXTAL Port 7 Ports 1, 2, 3, 4, 5, 6, 9, P83, P84, PB4 to PB7 Input low voltage RES, STBY, MD2 to MD0 NMI, EXTAL, ports 1, 2, 3, 4, 5, 6, 7, 9, P83, P84, PB4 to PB7 Output high voltage Output low voltage All output pins VOH All output pins VOL (except RESO) Ports 1, 2, 5, and B RESO High voltage RESO/VPP (12 V) appli- MD2 cation criterion level*5 VH VIL Symbol VT- VT+ VIH Min 1.0 -- Typ -- -- -- Max -- -- Unit Test Conditions V V
VCC x 0.7 V VCC + 0.3 V
VT+ - VT- 0.4
VCC - 0.7 --
VCC x 0.7 -- 2.0 2.0 -- --
VCC + 0.3 V AVCC + 0.3 V VCC + 0.3 V
-0.3 -0.3
-- --
0.5 0.8
V V
VCC - 0.5 -- 3.5 -- -- -- -- -- -- --
-- -- 0.4 1.0 0.4 11.4 V
V V V V V
IOH = -200 A IOH = -1 mA IOL = 1.6 mA IOL = 10 mA IOL = 2.6 mA VCC = 4.5 V to 5.5 V
VCC + 2.0 --
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS. 674
Table 21-10 DC Characteristics (cont) Conditions: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC , VSS = AVSS = 0 V*1, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Input leakage STBY, NMI, current RES, MD1, MD0 MD2 MD2 Port 7 Three-state leakage current (off state) Ports 1, 2, 3, 4, 5, 6, 8 to B RESO/VPP |ITS1| Symbol |Iin| Min -- Typ -- Max 1.0 Unit A Test Conditions Vin = 0.5 to VCC - 0.5 V Vin = 0.5 to VCC + 0.5 V Vin = VCC + 0.5 to 12.6 V Vin = 0.5 to AVCC - 0.5 V Vin = 0.5 to VCC - 0.5 V VCC to 5 V < Vin 12.6 V 0.5 V Vin VCC to 0.5 V Vin = 0 V VIN = 0 V f = 1 MHz Ta = 25C f = 16 MHz f = 16 MHz f = 16 MHz Ta 50C 50C < Ta
-- -- -- --
-- -- -- --
10.0 50.0 1.0 1.0
A A A A
-- --
-- -- -- -- -- 50 35 20 0.01 --
20.0 10.0 300 50 15 65 50 25 5.0 20.0
mA A A pF pF mA mA mA A A
Input pull-up Ports 2, current 4, and 5 Input capacitance NMI All input pins except NMI
-IP Cin
50 -- --
Current Normal dissipation*2 operation Sleep mode
ICC
-- -- -- -- --
Module standby mode*4 Standby mode*3
Notes: 1. If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS. 2. Current dissipation values are for VIHmin = VCC - 0.5 V and VILmax = 0.5 V with all output pins unloaded and the on-chip pull-up transistors in the off state. 3. The values are for VRAM VCC < 4.5 V, VIHmin = VCC x 0.9, and VILmax = 0.3 V. 4. Module standby current values apply in sleep mode with all modules halted. 5. The high-voltage application criterion level is as shown above. However, in boot mode and during flash memory write and erase it should be set at 12.0 V to 0.6 V. 675
Table 21-10 DC Characteristics (cont) Conditions: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Analog power During A/D supply current conversion During A/D and D/A conversion Idle Reference current During A/D conversion During A/D and D/A conversion Idle VPP pin current Read output IPP Program execution Erase RAM standby voltage VRAM AICC Symbol AICC Min -- -- Typ 1.2 1.2 Max 2.0 2.0 Unit Test Conditions mA mA
-- -- --
0.01 0.3 1.3
5.0 0.6 3.0
A mA mA
DASTE = 0 VREF = 5.0 V
-- -- -- -- -- 2.0
0.01 -- 10 20 20 --
5.0 10 20 40 40 --
A A mA mA mA V
DASTE = 0 VPP = 5.0 V VPP = 12.6 V
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS.
676
Table 21-10 DC Characteristics (cont) Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Schmitt trigger input voltages Input high voltage Port A, P80 to P82, PB0 to PB3 RES, STBY, NMI, MD2 to MD0 EXTAL Port 7 Ports 1, 2, 3,4, 5, 6, 9, P83, P84, PB4 to PB7 Input low voltage RES, STBY, MD2 to MD0 NMI, EXTAL, ports 1, 2, 3, 4, 5, 6, 7, 9, P83, P84 PB4 to PB7 Output high voltage Output low voltage All output pins VOH All output pins VOL (except RESO) Ports 1, 2, 5, and B VIL Symbol VT- VT+ VT+ - VIH VT
-
Min VCC x 0.2 -- VCC x 0.9
Typ -- --
Max -- VCC x 0.7 -- VCC + 0.3
Unit Test Conditions V V V V
VCC x 0.07 -- --
VCC x 0.7 VCC x 0.7 VCC x 0.7
-- -- --
VCC + 0.3 VCC + 0.3
V
AVCC + 0.3 V V
-0.3 -0.3
-- --
VCC x 0.1 V VCC x 0.2 V VCC < 4.0 V
0.8 VCC - 0.5 -- VCC - 1.0 -- -- -- -- -- -- -- 0.4 1.0
V V V V V
VCC = 4.0 V to 5.5 V IOH = -200 A IOH = -1 mA IOL = 1.6 mA VCC 4 V IOL = 5 mA, 4 V < VCC 5.5 V IOL = 10 mA
RESO
--
--
0.4
V
IOL = 1.6 mA
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS.
677
Table 21-10 DC Characteristics (cont) Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item High voltage RESO/VPP (12 V) appli- MD2 cation criterion level*6 Input leakage STBY, NMI, current RES, MD1, MD0 MD2 MD2 Port 7 Three-state leakage current (off state) Ports 1, 2, 3, 4, 5, 6, 8 to B RESO -IP Cin |ITS1| Symbol VH Min Typ Max 11.4 Unit V Test Conditions VCC = 2.7 V to 5.5 V
VCC + 2.0 --
|Iin|
--
--
1.0
A
Vin = 0.5 to VCC - 0.5 V Vin = 0.5 to VCC + 0.5 V Vin = VCC + 0.5 to 12.6 V Vin = 0.5 to AVCC - 0.5 V Vin = 0.5 to VCC - 0.5 V
-- -- -- --
-- -- -- --
10.0 50.0 1.0 1.0
A A A A
-- 10 -- --
-- -- -- --
10.0 300 50 15
A A pF VCC = 2.7 V to 5.5 V, Vin = 0 V Vin = 0 V f = 1 MHz Ta = 25C
Input pull-up Ports 2, current 4, and 5 NMI Input capacitance All input pins except NMI
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS.
678
Table 21-10 DC Characteristics (cont) Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V*1, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Current Normal dissipation*2 operation Sleep mode Module standby mode*5 Standby mode*3 Symbol ICC
*4
Min -- -- -- -- --
Typ 12 (3.0 V) 8 (3.0 V) 5 (3.0 V) 0.01 --
Max 35 (5.5 V) 25 (5.5 V) 14 (5.5 V) 5.0 20.0
Unit mA mA mA A A
Test Conditions f = 8 MHz f = 8 MHz f = 8 MHz Ta 50C 50C < Ta
Notes: 1. If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS. 2. Current dissipation values are for VIHmin = VCC - 0.5 V and VILmax = 0.5 V with all output pins unloaded and the on-chip pull-up transistors in the off state. 3. The values are for VRAM VCC < 2.7 V, VIHmin = VCC x 0.9, and VILmax = 0.3 V. 4. ICC depends on VCC and f as follows: ICCmax = 3.0 (mA) + 0.75 (mA/MHz * V) x VCC x f [normal mode] ICCmax = 3.0 (mA) + 0.55 (mA/MHz * V) x VCC x f [sleep mode] ICCmax = 3.0 (mA) + 0.25 (mA/MHz * V) x VCC x f [module standby mode] 5. Module standby current values apply in sleep mode with all modules halted. 6. The high-voltage application criterion level is as shown above. However, in boot mode and during flash memory write and erase it should be set at 12.0 V 0.6 V.
679
Table 21-10 DC Characteristics (cont)
--Preliminary--
Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V*, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Analog power supply current During A/D conversion During A/D and D/A conversion Idle Reference current During A/D conversion During A/D and D/A conversion Idle VPP pin current Read output IPP AICC Symbol AICC Min -- -- -- -- -- -- -- -- -- -- -- -- Program execution Erase RAM standby voltage VRAM -- -- 2.0 Typ 0.4 1.2 0.4 1.2 0.01 0.2 0.3 0.8 1.3 0.01 -- 10 20 20 -- Max 1.0 -- 1.0 -- 5.0 0.4 -- 2.0 -- 5.0 10 20 40 40 -- Unit mA mA mA mA A mA mA mA mA A A mA mA mA V VPP = 12.6 V Test Conditions AVCC = 3.0 V AVCC = 5.0 V AVCC = 3.0 V AVCC = 5.0 V DASTE = 0 VREF = 3.0 V VREF = 5.0 V VREF = 3.0 V VREF = 5.0 V DASTE = 0 VPP = 5.0 V
Note: * If the A/D and D/A converters are not used, do not leave the AVCC, AVSS, and VREF pins open. Connect AVCC and VREF to VCC, and connect AVSS to VSS.
680
Table 21-11 Permissible Output Currents Conditions: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Permissible output low current (per pin) Permissible output low current (total) Ports 1, 2, 5, and B Other output pins Total of 28 pins in ports 1, 2, 5, and B Total of all output pins, including the above Permissible output high current (per pin) Permissible output high current (total) All output pins Total of all output pins IOH IOH IOL Symbol IOL Min -- -- -- -- -- -- Typ -- -- -- -- -- -- Max 10 2.0 80 120 2.0 40 Unit mA mA mA mA mA mA
Notes: 1. To protect chip reliability, do not exceed the output current values in table 21-11. 2. When driving a darlington pair or LED, always insert a current-limiting resistor in the output line, as shown in figures 21-4 and 21-5.
681
H8/3048 Series 2 k Port
Darlington pair
Figure 21-4 Darlington Pair Drive Circuit (Example)
H8/3048 Series
Ports 1, 2, 5, and B
600
LED
Figure 21-5 LED Drive Circuit (Example)
682
21.3.2 AC Characteristics Bus timing parameters are listed in table 21-12. Refresh controller bus timing parameters are listed in table 21-13. Control signal timing parameters are listed in table 21-14. Timing parameters of the on-chip supporting modules are listed in table 21-15. Table 21-12 Bus Timing (1) Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item Clock cycle time Clock pulse low width Clock pulse high width Clock rise time Clock fall time Address delay time Address hold time Address strobe delay time Write strobe delay time Strobe delay time Write data strobe pulse width 1 Write data strobe pulse width 2 Address setup time 1 Address setup time 2 Read data setup time Read data hold time Symbol tCYC tCL tCH tCR tCF tAD tAH tASD tWSD tSD tWSW1* tWSW2* tAS1 tAS2 tRDS tRDH Min 125 40 40 -- -- -- 25 -- -- -- 85 150 20 80 50 0 Max 1000 -- -- 20 20 60 -- 60 60 60 -- -- -- -- -- -- Min 62.5 20 20 -- -- -- 10 -- -- -- 35 65 10 40 20 0 Condition C 16 MHz Max 1000 -- -- 10 10 30 -- 30 30 30 -- -- -- -- -- -- Unit ns Test Conditions Figure 21-7 Figure 21-8
683
Table 21-12 Bus Timing (cont) Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item Write data delay time Write data setup time 1 Write data setup time 2 Write data hold time Read data access time 1 Read data access time 2 Read data access time 3 Read data access time 4 Precharge time Wait setup time Wait hold time Bus request setup time Bus acknowledge delay time 1 Bus acknowledge delay time 2 Bus-floating time Symbol tWDD tWDS1 tWDS2 tWDH tACC1* tACC2* tACC3* tACC4* tPCH* tWTS tWTH tBRQS tBACD1 tBACD2 tBZD Min -- 60 5 25 -- -- -- -- 85 40 10 40 -- -- -- Max 75 -- -- -- 120 240 70 180 -- -- -- -- 60 60 70 Min -- 15 -5 20 -- -- -- -- 45 25 5 40 -- -- -- Condition C 16 MHz Max 60 -- -- -- 60 120 30 95 -- -- -- -- 30 30 40 ns Figure 21-21 ns Figure 21-9 Unit ns Test Conditions Figure 21-7 Figure 21-8
Note: At 8 MHz, the times below depend as indicated on the clock cycle time. tACC1 = 1.5 x tCYC - 68 (ns) tWSW1 = 1.0 x tCYC - 40 (ns) tACC2 = 2.5 x tCYC - 73 (ns) tWSW2 = 1.5 x tCYC - 38 (ns) tACC3 = 1.0 x tCYC - 55 (ns) tPCH = 1.0 x tCYC - 40 (ns) tACC4 = 2.0 x tCYC - 70 (ns) At 16 MHz, the times below depend as indicated on the clock cycle time. tACC1 = 1.5 x tCYC - 34 (ns) tWSW1 = 1.0 x tCYC - 28 (ns) tACC2 = 2.5 x tCYC - 37 (ns) tWSW2 = 1.5 x tCYC - 29 (ns) tACC3 = 1.0 x tCYC - 33 (ns) tPCH = 1.0 x tCYC - 28 (ns) tACC4 = 2.0 x tCYC - 30 (ns)
684
Table 21-13 Refresh Controller Bus Timing Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item RAS delay time 1 RAS delay time 2 RAS delay time 3 Row address hold time* RAS precharge time* CAS to RAS precharge time* CAS pulse width RAS access time* Address access time CAS access time* Write data setup time 3 CAS setup time* Read strobe delay time Symbol tRAD1 tRAD2 tRAD3 tRAH tRP tCRP tCAS tRAC tAA tCAC tWDS3 tCSR tRSD Min -- -- -- 25 85 85 100 -- -- -- 50 20 -- Max 60 60 60 -- -- -- -- 160 105 50 -- -- 60 Min -- -- -- 15 45 45 40 -- -- -- 15 15 -- Condition C 16 MHz Max 30 30 30 -- -- -- -- 85 55 30 -- -- 30 Unit ns Test Conditions Figure 21-10 to Figure 21-16
Note: At 8 MHz, the times below depend as indicated on the clock cycle time. tRAH = 0.5 x tCYC - 38 (ns) tCAC = 1.0 x tCYC - 75 (ns) tRAC = 2.0 x tCYC - 90 (ns) tCSR = 0.5 x tCYC - 43 (ns) tRP = tCRP = 1.0 x tCYC - 40 (ns) At 16 MHz, the times below depend as indicated on the clock cycle time. tRAH = 0.5 x tCYC - 17 (ns) tCAC = 1.0 x tCYC - 33 (ns) tRAC = 2.0 x tCYC - 40 (ns) tCSR = 0.5 x tCYC - 17 (ns) tRP = tCRP = 1.0 x tCYC - 18 (ns)
685
Table 21-14 Control Signal Timing Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item RES setup time RES pulse width Mode programming setup time RESO output delay time RESO output pulse width NMI setup time (NMI, IRQ5 to IRQ0) NMI hold time (NMI, IRQ5 to IRQ0) Interrupt pulse width (NMI, IRQ2 to IRQ0 when exiting software standby mode) Clock oscillator settling time at reset (crystal) Clock oscillator settling time in software standby (crystal) Symbol tRESS tRESW tMDS tRESD tRESOW tNMIS tNMIH tNMIW Min 200 10 200 -- 132 200 10 200 Max -- -- -- 100 -- -- -- -- Min 200 10 200 -- 132 150 10 200 Condition C 16 MHz Max -- -- -- 100 -- -- -- -- Unit ns tCYC ns ns tCYC ns Figure 21-20 Figure 21-19 Test Conditions Figure 21-18
tOSC1 tOSC2
20 7
-- --
20 7
-- --
ms ms
Figure 21-22 Figure 20-1
686
Table 21-15 Timing of On-Chip Supporting Modules Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item DMAC DREQ setup time DREQ hold time TEND delay time 1 TEND delay time 2 ITU Timer output delay time Timer input setup time Timer clock input setup time Timer clock pulse width SCI Input clock cycle Single edge Both edges Asynchronous Synchronous Symbol tDRQS tDRQH tTED1 tTED2 tTOCD tTICS tTCKS tTCKWH tTCKWL tSCYC tSCYC tSCKr tSCKf tSCKW Min 40 10 -- -- -- 50 50 1.5 2.5 4 6 -- -- 0.4 Max -- -- 100 100 100 -- -- -- -- -- -- 1.5 1.5 0.6 Min 30 10 -- -- -- 50 50 1.5 2.5 4 6 -- -- 0.4 Condition C 16 MHz Max -- -- 50 50 100 -- -- -- -- -- -- 1.5 1.5 0.6 tSCYC tCYC Figure 21-26 tCYC Figure 21-25 ns Figure 21-28, Figure 21-29 Figure 21-24 Unit ns Test Conditions Figure 21-30
Input clock rise time Input clock fall time Input clock pulse width
687
Table 21-15 Timing of On-Chip Supporting Modules (cont) Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item SCI Transmit data delay time Receive data setup time (synchronous) Receive data hold time (synchronous) Ports and TPC Output data delay time Input data setup time Input data hold time Clock input Clock output Symbol tTXD tRXS Min -- 100 Max 100 -- Min -- 100 Condition C 16 MHz Max 100 -- Unit ns Test Conditions Figure 21-27
tRXH tRXH tPWD tPRS tPRH
100 0 -- 50 50
-- -- 100 -- --
100 0 -- 50 50
-- -- 100 -- -- ns Figure 21-23
5V C = 90 pF: ports 4, 5, 6, 8, A (19 to 0), D (15 to 8), o RL H8/3048 Series output pin C = 30 pF: ports 9, A, B, RESO R L = 2.4 k R H = 12 k C RH Input/output timing measurement levels * Low: 0.8 V * High: 2.0 V
Figure 21-6 Output Load Circuit
688
21.3.3 A/D Conversion Characteristics Table 21-16 lists the A/D conversion characteristics. Table 21-16 A/D Converter Characteristics Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item Resolution Conversion time Analog input capacitance Permissible signal-source impedance Nonlinearity error Offset error Full-scale error Quantization error Absolute accuracy Min 10 -- -- -- -- -- -- -- -- -- Typ 10 -- -- -- -- -- -- -- -- -- Max 10 16.8 20 10*1 5*2 6.0 4.0 4.0 0.5 8.0 Min 10 -- -- -- -- -- -- -- -- -- Condition C 16 MHz Typ 10 -- -- -- -- -- -- -- -- -- Max 10 8.4 20 10*3 5*4 3.0 2.0 2.0 0.5 4.0 LSB LSB LSB LSB LSB Unit bits s pF k
Notes: 1. 2. 3. 4.
The value is for 4.0 AVCC 5.5. The value is for 2.7 AVCC < 4.0. The value is for o 12 MHz. The value is for o > 12 MHz.
689
21.3.4 D/A Conversion Characteristics Table 21-17 lists the D/A conversion characteristics. Table 21-17 D/A Converter Characteristics Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Condition A 8 MHz Item Resolution Conversion time Absolute accuracy Min 8 -- -- -- Typ 8 -- 2.0 -- Max 8 10 3.0 2.0 Min 8 -- -- -- Condition C 16 MHz Typ 8 -- 1.0 -- Max 8 10 1.5 1.0 Unit Bits s LSB LSB 20-pF capacitive load 2-M resistive load 4-M resistive load Test Conditions
690
21.3.5 Flash Memory Characteristics Table 21-18 lists the flash memory characteristics. Table 21-18 Flash Memory Condition A: VCC = 2.7 V to 5.5 V, AVCC = 2.7 V to 5.5 V, VREF = 2.7 V to AVCC, VSS = AVSS = 0 V, VPP = 12 V 0.6 V, o = 1 MHz to 8 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications) Condition C: VCC = 5.0 V 10%, AVCC = 5.0 V 10%, VREF = 4.5 V to AVCC, VSS = AVSS = 0 V, VPP = 12 V 0.6 V, o = 1 MHz to 16 MHz, Ta = -20C to +75C (regular specifications), Ta = -40C to +85C (wide-range specifications)
Item Programming Erase time*1 time*1 Symbol tP tE NWEC tVS1 tVS2 tFRS 1*1 2*1 Min -- -- -- 4 2 50 100 Typ 50 1 -- -- -- -- -- Max 1000 30 100 -- -- -- -- Unit s s time s s s s VCC 4.5 V VCC < 4.5 V Test Conditions
Erase-program cycle Verify setup time Verify setup time
Flash memory read setup time*2
Notes: 1. To specify each time, follow the appropriate algorithm. 2. Before reading the flash memory, wait at least for the read setup time after clearing the VPPE bit; lowering the voltage supplied to VPP from 12 V to 0-5 V; turning on the power when the external clock is used; or returning from standby mode. When the VPP voltage is cut off, tFRS indicates the time from when the VPP falls below VCC + 2 V to when the flash memory is read.
691
21.4 Operational Timing
This section shows timing diagrams. 21.4.1 Bus Timing Bus timing is shown as follows: * Basic bus cycle: two-state access Figure 21-7 shows the timing of the external two-state access cycle. * Basic bus cycle: three-state access Figure 21-8 shows the timing of the external three-state access cycle. * Basic bus cycle: three-state access with one wait state Figure 21-9 shows the timing of the external three-state access cycle with one wait state inserted.
692
T1 tCYC tCH o tCF tAD A23 to A0, CS 3 to CS 0 tASD AS tAS1 tACC3 tcyc tCR tCL
T2
tPCH tSD tAH
tPCH tASD RD (read) tAS1 tACC1 D15 to D0 (read) tASD HWR, LWR (write) tAS1 tWSW1 tWDD D15 to D0 (write) tWDS1 tWDH tSD tAH tRDS tRDH tACC3 tSD tAH
tPCH
Figure 21-7 Basic Bus Cycle: Two-State Access
693
T1 o
T2
T3
A23 to A0 tACC4 AS tACC4 RD (read) tACC2 D15 to D0 (read) tWSD HWR, LWR (write) D15 to D0 (write) tAS2 tWDS2 tWSW2 tRDS
Figure 21-8 Basic Bus Cycle: Three-State Access
694
T1 o
T2
TW
T3
A23 to A0 AS RD (read)
D15 to D0 (read)
HWR, LWR (write) D15 to D0 (write) tWTS WAIT tWTH tWTS tWTH
Figure 21-9 Basic Bus Cycle: Three-State Access with One Wait State
695
21.4.2 Refresh Controller Bus Timing Refresh controller bus timing is shown as follows: * DRAM bus timing Figures 21-10 to 21-15 show the DRAM bus timing in each operating mode. * PSRAM bus timing Figures 21-16 and 21-17 show the pseudo-static RAM bus timing in each operating mode.
T1 o tAD tAD T2 T3
A9 to A1 AS tRAD1 CS 3 (RAS) tAS1 tASD RD (CAS) HWR (UW), LWR (LW ) (read) HWR (UW), LWR (LW ) (write) RFSH D15 to D0 (read) tWDS3 D15 to D0 (write) tASD tAS1 tCAS tSD tCRP tRAH tRAD3 tRP
tRAC tAA tCAC
tSD
tWDH
tRDS
tRDH
Figure 21-10 DRAM Bus Timing (Read/Write): Three-State Access -- 2WE Mode --
696
T1 o
T2
T3
A9 to A1 tASD AS tCSR CS3 (RAS) tASD RD (CAS) HWR (UW), LWR (LW) tRAD2 RFSH tCSR tRAD3 tRAD2 tSD tRAD3 tSD
Figure 21-11 DRAM Bus Timing (Refresh Cycle): Three-State Access -- 2WE Mode --
o
CS3 (RAS) RD (CAS)
tCSR tCSR
RFSH
Figure 21-12 DRAM Bus Timing (Self-Refresh Mode) -- 2WE Mode --
697
T1 o tAD tAD
T2
T3
A9 to A1 AS tRAD1 tRAH tASD HWR (UCAS), LWR (LCAS) RD (WE) (read) tASD RD (WE) (write) RFSH D15 to D0 (read) tWDS3 D15 to D0 (write) tAS1 tCAS tRAD3 tRP tSD tCRP
CS 3 (RAS)
tAS1
tRAC tAA tCAC
tSD tWDH
tRDS tRDH
Figure 21-13 DRAM Bus Timing (Read/Write): Three-State Access -- 2CAS Mode --
698
T1 o
T2
T3
A9 to A1 tASD AS tCSR CS 3 (RAS) tASD HWR (UCAS), LWR (LCAS) RD (WE) tRAD2 RFSH tCSR tRAD3 tRAD2 tSD tRAD3 tSD
Figure 21-14 DRAM Bus Timing (Refresh Cycle): Three-State Access -- 2CAS Mode --
o
CS 3 (RAS) HWR (UCAS), LWR (LCAS) RFSH
tCSR tCSR
Figure 21-15 DRAM Bus Timing (Self-Refresh Mode) -- 2CAS Mode --
699
T1 o tAD
T2
T3
A23 to A0 AS tRAD1 CS3 RD (read) tAS1 tRSD tRDS D15 to D0 (read) HWR, LWR (write) tWDS2 D15 to D0 (write) RFSH tRDH tRAD3 tRP tSD
tWSD
tSD
Figure 21-16 PSRAM Bus Timing (Read/Write): Three-State Access
T1 o A23 to A0 AS CS3, HWR, LWR, RD RFSH tRAD2
T2
T3
tRAD3
Figure 21-17 PSRAM Bus Timing (Refresh Cycle): Three-State Access
700
21.4.3 Control Signal Timing Control signal timing is shown as follows: * Reset input timing Figure 21-18 shows the reset input timing. * Reset output timing Figure 21-19 shows the reset output timing. * Interrupt input timing Figure 21-20 shows the input timing for NMI and IRQ5 to IRQ0. * Bus-release mode timing Figure 21-21 shows the bus-release mode timing.
o tRESS RES tMDS MD2 to MD0 tRESW tRESS
Figure 21-18 Reset Input Timing
o tRESD RESO tRESOW tRESD
Figure 21-19 Reset Output Timing
701
o tNMIS NMI tNMIS IRQ E tNMIS IRQ L IRQ E : Edge-sensitive IRQ i IRQ L : Level-sensitive IRQ i (i = 0 to 5) tNMIW NMI IRQ j (j = 0 to 2) tNMIH tNMIH
Figure 21-20 Interrupt Input Timing
o
tBRQS BREQ
tBRQS
tBACD2 tBACD1 BACK
A23 to A0, AS, RD, HWR, LWR
tBZD
tBZD
Figure 21-21 Bus-Release Mode Timing
702
21.4.4 Clock Timing Clock timing is shown as follows: * Oscillator settling timing Figure 21-22 shows the oscillator settling timing.
o
VCC
STBY tOSC1 RES tOSC1
Figure 21-22 Oscillator Settling Timing 21.4.5 TPC and I/O Port Timing Figure 21-23 shows the TPC and I/O port timing.
T1 o tPRS Port 1 to B (read)
T2
T3
tPRH
tPWD Port 1 to 6, 8 to B (write)
Figure 21-23 TPC and I/O Port Input/Output Timing
703
21.4.6 ITU Timing ITU timing is shown as follows: * ITU input/output timing Figure 21-24 shows the ITU input/output timing. * ITU external clock input timing Figure 21-25 shows the ITU external clock input timing.
o tTOCD Output compare*1 tTICS Input capture*2 Notes: 1. TIOCA0 to TIOCA4, TIOCB0 to TIOCB4, TOCXA4, TOCXB4 2. TIOCA0 to TIOCA4, TIOCB0 to TIOCB4
Figure 21-24 ITU Input/Output Timing
tTCKS o tTCKS TCLKA to TCLKD
tTCKWL
tTCKWH
Figure 21-25 ITU Clock Input Timing
704
21.4.7 SCI Input/Output Timing SCI timing is shown as follows: * SCI input clock timing Figure 21-26 shows the SCK input clock timing. * SCI input/output timing (synchronous mode) Figure 21-27 shows the SCI input/output timing in synchronous mode.
tSCKW SCK0, SCK1 tScyc tSCKr tSCKf
Figure 21-26 SCK Input Clock Timing
tScyc SCK0, SCK1 tTXD TxD0, TxD1 (transmit data) RxD0, RxD1 (receive data)
tRXS
tRXH
Figure 21-27 SCI Input/Output Timing in Synchronous Mode
705
21.4.8 DMAC Timing DMAC timing is shown as follows. * DMAC TEND output timing for 2 state access Figure 21-28 shows the DMAC TEND output timing for 2 state access. * DMAC TEND output timing for 3 state access Figure 21-29 shows the DMAC TEND output timing for 3 state access. * DMAC DREQ input timing Figure 21-30 shows DMAC DREQ input timing.
T1 o tTED1 TEND
T2
tTED2
Figure 21-28 DMAC TEND Output Timing for 2 State Access
T1 o tTED1 TEND
T2
T3
tTED2
Figure 21-29 DMAC TEND Output Timing for 3 State Access
706
o tDRQS DREQ tDRQH
Figure 21-30 DMAC DREQ Input Timing
707
Appendix A Instruction Set
A.1 Instruction List
Operand Notation
Symbol Rd Rs Rn ERd ERs ERn (EAd) (EAs) PC SP CCR N Z V C disp + - x / ~ ( ), < > Description General destination register General source register General register General destination register (address register or 32-bit register) General source register (address register or 32-bit register) General register (32-bit register) Destination operand Source operand Program counter Stack pointer Condition code register N (negative) flag in CCR Z (zero) flag in CCR V (overflow) flag in CCR C (carry) flag in CCR Displacement Transfer from the operand on the left to the operand on the right, or transition from the state on the left to the state on the right Addition of the operands on both sides Subtraction of the operand on the right from the operand on the left Multiplication of the operands on both sides Division of the operand on the left by the operand on the right Logical AND of the operands on both sides Logical OR of the operands on both sides Exclusive logical OR of the operands on both sides NOT (logical complement) Contents of operand
Note: General registers include 8-bit registers (R0H to R7H and R0L to R7L) and 16-bit registers (R0 to R7 and E0 to E7).
709
Condition Code Notation
Symbol * 0 1 -- Description Changed according to execution result Undetermined (no guaranteed value) Cleared to 0 Set to 1 Not affected by execution of the instruction Varies depending on conditions, described in notes
710
Table A-1 Instruction Set 1. Data transfer instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic MOV.B #xx:8, Rd MOV.B Rs, Rd MOV.B @ERs, Rd MOV.B @(d:16, ERs), Rd MOV.B @(d:24, ERs), Rd MOV.B @ERs+, Rd
Operation #xx:8 Rd8 Rs8 Rd8 @ERs Rd8 @(d:16, ERs) Rd8 @(d:24, ERs) Rd8 @ERs Rd8 ERs32+1 ERs32 @aa:8 Rd8 @aa:16 Rd8 @aa:24 Rd8 Rs8 @ERd Rs8 @(d:16, ERd) Rs8 @(d:24, ERd) ERd32-1 ERd32 Rs8 @ERd Rs8 @aa:8 Rs8 @aa:16 Rs8 @aa:24
B B B B
2 2 2 4
---- ---- ---- ---- ---- ---- ---- ---- ---- ---- 4 ---- ---- ---- ---- ---- ---- ---- 2 2 4 ---- ---- ---- ---- ---- ----
0-- 0-- 0-- 0--
2 2 4 6
B
8
0--
10
B
2
0--
6
MOV.B @aa:8, Rd MOV.B @aa:16, Rd MOV.B @aa:24, Rd MOV.B Rs, @ERd MOV.B Rs, @(d:16, ERd) MOV.B Rs, @(d:24, ERd) MOV.B Rs, @-ERd
B B B B B
2 4 6 2
0-- 0-- 0-- 0-- 0--
4 6 8 4 6
B
8
0--
10
B
2
0--
6
MOV.B Rs, @aa:8 MOV.B Rs, @aa:16 MOV.B Rs, @aa:24 MOV.W #xx:16, Rd MOV.W Rs, Rd MOV.W @ERs, Rd MOV.W @(d:16, ERs), Rd MOV.W @(d:24, ERs), Rd MOV.W @ERs+, Rd
B B B
2 4 6 4
0-- 0-- 0-- 0-- 0-- 0-- 0--
4 6 8 4 2 4 6
W #xx:16 Rd16 W Rs16 Rd16 W @ERs Rd16 W @(d:16, ERs) Rd16 W @(d:24, ERs) Rd16 W @ERs Rd16 ERs32+2 @ERd32 W @aa:16 Rd16
8
0--
10
2
0--
6
MOV.W @aa:16, Rd
4
0--
6
711
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont)
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic MOV.W @aa:24, Rd MOV.W Rs, @ERd MOV.W Rs, @(d:16, ERd) MOV.W Rs, @(d:24, ERd) MOV.W Rs, @-ERd
Operation
W @aa:24 Rd16 W Rs16 @ERd W Rs16 @(d:16, ERd) W Rs16 @(d:24, ERd) W ERd32-2 ERd32 Rs16 @ERd W Rs16 @aa:16 W Rs16 @aa:24 L L L L #xx:32 Rd32 ERs32 ERd32 @ERs ERd32 @(d:16, ERs) ERd32 @(d:24, ERs) ERd32 @ERs ERd32 ERs32+4 ERs32 @aa:16 ERd32 @aa:24 ERd32 ERs32 @ERd ERs32 @(d:16, ERd) ERs32 @(d:24, ERd) ERd32-4 ERd32 ERs32 @ERd ERs32 @aa:16 ERs32 @aa:24 4 6 6 2 4 6 2 4
6
---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- 2 ---- 4 ----
0-- 0-- 0--
8 4 6
8
0--
10
2
0--
6
MOV.W Rs, @aa:16 MOV.W Rs, @aa:24 MOV.L #xx:32, Rd MOV.L ERs, ERd MOV.L @ERs, ERd MOV.L @(d:16, ERs), ERd MOV.L @(d:24, ERs), ERd MOV.L @ERs+, ERd
4 6
0-- 0-- 0-- 0-- 0-- 0--
6 8 6 2 8 10
L
10
0--
14
L
4
0--
10
MOV.L @aa:16, ERd MOV.L @aa:24, ERd MOV.L ERs, @ERd MOV.L ERs, @(d:16, ERd) MOV.L ERs, @(d:24, ERd) MOV.L ERs, @-ERd
L L L L
6 8
0-- 0-- 0-- 0--
10 12 8 10
L
10
0--
14
L
4
0--
10
MOV.L ERs, @aa:16 MOV.L ERs, @aa:24 POP.W Rn
L L
6 8
0-- 0-- 0--
10 12 6
W @SP Rn16 SP+2 SP L @SP ERn32 SP+4 SP
POP.L ERn
0--
10
712
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont)
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic PUSH.W Rn
Operation
W SP-2 SP Rn16 @SP L SP-4 SP ERn32 @SP Cannot be used in the H8/3048 Series Cannot be used in the H8/3048 Series 4
2 ---- 4 ----
0--
6
PUSH.L ERn
0--
10
MOVFPE @aa:16, Rd MOVTPE Rs, @aa:16
B
Cannot be used in the H8/3048 Series Cannot be used in the H8/3048 Series
B
4
2.
Arithmetic instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic ADD.B #xx:8, Rd ADD.B Rs, Rd ADD.W #xx:16, Rd ADD.W Rs, Rd ADD.L #xx:32, ERd
Operation Rd8+#xx:8 Rd8 Rd8+Rs8 Rd8
B B
2 2 4 2 6
-- --
2 2 4 2 6
W Rd16+#xx:16 Rd16 W Rd16+Rs16 Rd16 L ERd32+#xx:32 ERd32 ERd32+ERs32 ERd32 Rd8+#xx:8 +C Rd8 Rd8+Rs8 +C Rd8 ERd32+1 ERd32 ERd32+2 ERd32 ERd32+4 ERd32 Rd8+1 Rd8
-- (1) -- (1) -- (2) -- (2) -- 2 2 2 2 2 2 2 --
ADD.L ERs, ERd
L
2
2
ADDX.B #xx:8, Rd ADDX.B Rs, Rd ADDS.L #1, ERd ADDS.L #2, ERd ADDS.L #4, ERd INC.B Rd INC.W #1, Rd INC.W #2, Rd
B B L L L B
2
(3) (3)
2 2 2 2 2 2 2 2
------------ ------------ ------------ ---- ---- ---- -- -- --
W Rd16+1 Rd16 W Rd16+2 Rd16
713
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont)
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic INC.L #1, ERd INC.L #2, ERd DAA Rd
Operation ERd32+1 ERd32 ERd32+2 ERd32 Rd8 decimal adjust Rd8 Rd8-Rs8 Rd8
L L B
2 2 2
---- ---- --* --
-- -- *--
2 2 2
SUB.B Rs, Rd SUB.W #xx:16, Rd SUB.W Rs, Rd SUB.L #xx:32, ERd
B
2 4 2 6
2 4 2 6
W Rd16-#xx:16 Rd16 W Rd16-Rs16 Rd16 L ERd32-#xx:32 ERd32 ERd32-ERs32 ERd32 Rd8-#xx:8-C Rd8 Rd8-Rs8-C Rd8 ERd32-1 ERd32 ERd32-2 ERd32 ERd32-4 ERd32 Rd8-1 Rd8
-- (1) -- (1) -- (2) -- (2) -- 2 2 2 2 2 2 2 2 2 2 --
SUB.L ERs, ERd
L
2
2
SUBX.B #xx:8, Rd SUBX.B Rs, Rd SUBS.L #1, ERd SUBS.L #2, ERd SUBS.L #4, ERd DEC.B Rd DEC.W #1, Rd DEC.W #2, Rd DEC.L #1, ERd DEC.L #2, ERd DAS.Rd
B B L L L B
2
(3) (3)
2 2 2 2 2 2 2 2 2 2 2
------------ ------------ ------------ ---- ---- ---- ---- ---- --* -- -- -- -- -- *--
W Rd16-1 Rd16 W Rd16-2 Rd16 L L B ERd32-1 ERd32 ERd32-2 ERd32 Rd8 decimal adjust Rd8 Rd8 x Rs8 Rd16 (unsigned multiplication)
MULXU. B Rs, Rd
B
2
------------
14
MULXU. W Rs, ERd
W Rd16 x Rs16 ERd32 (unsigned multiplication) B Rd8 x Rs8 Rd16 (signed multiplication)
2
------------ ---- ---- ---- ----
22
MULXS. B Rs, Rd
4
16
MULXS. W Rs, ERd
W Rd16 x Rs16 ERd32 (signed multiplication) B Rd16 / Rs8 Rd16 (RdH: remainder, RdL: quotient) (unsigned division)
4
24
DIVXU. B Rs, Rd
2
-- -- (6) (7) -- --
14
714
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont)
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic DIVXU. W Rs, ERd
Operation
W ERd32 / Rs16 ERd32 (Ed: remainder, Rd: quotient) (unsigned division) B Rd16 / Rs8 Rd16 (RdH: remainder, RdL: quotient) (signed division)
2
-- -- (6) (7) -- --
22
DIVXS. B Rs, Rd
4
-- -- (8) (7) -- --
16
DIVXS. W Rs, ERd
W ERd32 / Rs16 ERd32 (Ed: remainder, Rd: quotient) (signed division) B B Rd8-#xx:8 Rd8-Rs8 4 2
4
-- -- (8) (7) -- --
24
CMP.B #xx:8, Rd CMP.B Rs, Rd CMP.W #xx:16, Rd CMP.W Rs, Rd CMP.L #xx:32, ERd CMP.L ERs, ERd NEG.B Rd NEG.W Rd NEG.L ERd EXTU.W Rd
-- 2 --




2 2 4 2 4 2 2 2 2 2
W Rd16-#xx:16 W Rd16-Rs16 L L B ERd32-#xx:32 ERd32-ERs32 0-Rd8 Rd8
-- (1) 2 -- (1) -- (2) 2 2 2 2 2 -- (2) -- -- --
6
W 0-Rd16 Rd16 L 0-ERd32 ERd32
W 0 ( of Rd16) L 0 ( of ERd32)
---- 0
0--
EXTU.L ERd
2
---- 0 ---- ----
0--
2
EXTS.W Rd
W ( of Rd16) ( of Rd16) L ( of ERd32) ( of ERd32)
2 2
0-- 0--
2 2
EXTS.L ERd
715
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont) 3. Logic instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic AND.B #xx:8, Rd AND.B Rs, Rd AND.W #xx:16, Rd AND.W Rs, Rd AND.L #xx:32, ERd AND.L ERs, ERd OR.B #xx:8, Rd OR.B Rs, Rd OR.W #xx:16, Rd OR.W Rs, Rd OR.L #xx:32, ERd OR.L ERs, ERd XOR.B #xx:8, Rd XOR.B Rs, Rd XOR.W #xx:16, Rd XOR.W Rs, Rd XOR.L #xx:32, ERd XOR.L ERs, ERd NOT.B Rd NOT.W Rd NOT.L ERd
Operation Rd8#xx:8 Rd8 Rd8Rs8 Rd8
B B
2 2 4 2 6 4 2 2 4 2 6 4 2 2 4 2 6 4 2 2 2
---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ----
0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0-- 0--
2 2 4 2 6 4 2 2 4 2 6 4 2 2 4 2 6 4 2 2 2
W Rd16#xx:16 Rd16 W Rd16Rs16 Rd16 L L B B ERd32#xx:32 ERd32 ERd32ERs32 ERd32 Rd8#xx:8 Rd8 Rd8Rs8 Rd8
W Rd16#xx:16 Rd16 W Rd16Rs16 Rd16 L L B B ERd32#xx:32 ERd32 ERd32ERs32 ERd32 Rd8#xx:8 Rd8 Rd8Rs8 Rd8
W Rd16#xx:16 Rd16 W Rd16Rs16 Rd16 L L B ERd32#xx:32 ERd32 ERd32ERs32 ERd32 ~ Rd8 Rd8
W ~ Rd16 Rd16 L ~ Rd32 Rd32
716
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont) 4. Shift instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 C
Mnemonic SHAL.B Rd SHAL.W Rd SHAL.L ERd SHAR.B Rd SHAR.W Rd SHAR.L ERd SHLL.B Rd SHLL.W Rd SHLL.L ERd SHLR.B Rd SHLR.W Rd SHLR.L ERd ROTXL.B Rd ROTXL.W Rd ROTXL.L ERd ROTXR.B Rd ROTXR.W Rd ROTXR.L ERd ROTL.B Rd ROTL.W Rd ROTL.L ERd ROTR.B Rd ROTR.W Rd ROTR.L ERd
Operation
B W L B W L B W L B W L B W L B W L B W L B W L
2
---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ----
2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2
C MSB LSB
0
2 2 2
C MSB LSB
2 2 2
C MSB LSB
0
2 2 2
0 MSB LSB
C
2 2 2
C MSB LSB
2 2 2
C MSB LSB
2 2 2
C MSB LSB
2 2 2
C MSB LSB
2 2
717
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont) 5. Bit manipulation instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic BSET #xx:3, Rd BSET #xx:3, @ERd BSET #xx:3, @aa:8 BSET Rn, Rd BSET Rn, @ERd BSET Rn, @aa:8 BCLR #xx:3, Rd BCLR #xx:3, @ERd BCLR #xx:3, @aa:8 BCLR Rn, Rd BCLR Rn, @ERd BCLR Rn, @aa:8 BNOT #xx:3, Rd
Operation (#xx:3 of Rd8) 1 (#xx:3 of @ERd) 1 (#xx:3 of @aa:8) 1 (Rn8 of Rd8) 1 (Rn8 of @ERd) 1 (Rn8 of @aa:8) 1 (#xx:3 of Rd8) 0 (#xx:3 of @ERd) 0 (#xx:3 of @aa:8) 0 (Rn8 of Rd8) 0 (Rn8 of @ERd) 0 (Rn8 of @aa:8) 0 (#xx:3 of Rd8) ~ (#xx:3 of Rd8) (#xx:3 of @ERd) ~ (#xx:3 of @ERd) (#xx:3 of @aa:8) ~ (#xx:3 of @aa:8) (Rn8 of Rd8) ~ (Rn8 of Rd8) (Rn8 of @ERd) ~ (Rn8 of @ERd) (Rn8 of @aa:8) ~ (Rn8 of @aa:8) ~ (#xx:3 of Rd8) Z ~ (#xx:3 of @ERd) Z ~ (#xx:3 of @aa:8) Z ~ (Rn8 of @Rd8) Z ~ (Rn8 of @ERd) Z ~ (Rn8 of @aa:8) Z (#xx:3 of Rd8) C
B B B B B B B B B B B B B
2 4 4 2 4 4 2 4 4 2 4 4 2
------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------
2 8 8 2 8 8 2 8 8 2 8 8 2
BNOT #xx:3, @ERd
B
4
------------
8
BNOT #xx:3, @aa:8
B
4
------------
8
BNOT Rn, Rd
B
2
------------
2
BNOT Rn, @ERd
B
4
------------
8
BNOT Rn, @aa:8
B
4
------------ ------ ----
8
BTST #xx:3, Rd BTST #xx:3, @ERd BTST #xx:3, @aa:8 BTST Rn, Rd BTST Rn, @ERd BTST Rn, @aa:8 BLD #xx:3, Rd
B B B B B B B
2 4 4 2 4 4 2
2 6 6 2 6 6 2
------ ---- ------ ---- ------ ---- ------ ---- ------ ---- ----------
718
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont)
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@ERn
@aa
Condition Code -- I HN Z V C
Mnemonic BLD #xx:3, @ERd BLD #xx:3, @aa:8 BILD #xx:3, Rd BILD #xx:3, @ERd BILD #xx:3, @aa:8 BST #xx:3, Rd BST #xx:3, @ERd BST #xx:3, @aa:8 BIST #xx:3, Rd BIST #xx:3, @ERd BIST #xx:3, @aa:8 BAND #xx:3, Rd BAND #xx:3, @ERd BAND #xx:3, @aa:8 BIAND #xx:3, Rd BIAND #xx:3, @ERd BIAND #xx:3, @aa:8 BOR #xx:3, Rd BOR #xx:3, @ERd BOR #xx:3, @aa:8 BIOR #xx:3, Rd BIOR #xx:3, @ERd BIOR #xx:3, @aa:8 BXOR #xx:3, Rd BXOR #xx:3, @ERd BXOR #xx:3, @aa:8 BIXOR #xx:3, Rd
Operation (#xx:3 of @ERd) C (#xx:3 of @aa:8) C ~ (#xx:3 of Rd8) C ~ (#xx:3 of @ERd) C ~ (#xx:3 of @aa:8) C C (#xx:3 of Rd8) C (#xx:3 of @ERd24) C (#xx:3 of @aa:8) ~ C (#xx:3 of Rd8) ~ C (#xx:3 of @ERd24) ~ C (#xx:3 of @aa:8) C(#xx:3 of Rd8) C C(#xx:3 of @ERd24) C C(#xx:3 of @aa:8) C C ~ (#xx:3 of Rd8) C C ~ (#xx:3 of @ERd24) C C ~ (#xx:3 of @aa:8) C C(#xx:3 of Rd8) C C(#xx:3 of @ERd24) C C(#xx:3 of @aa:8) C C ~ (#xx:3 of Rd8) C C ~ (#xx:3 of @ERd24) C C ~ (#xx:3 of @aa:8) C C(#xx:3 of Rd8) C C(#xx:3 of @ERd24) C C(#xx:3 of @aa:8) C C ~ (#xx:3 of Rd8) C C ~ (#xx:3 of @ERd24) C C ~ (#xx:3 of @aa:8) C
B B B B B B B B B B B B B B B B B B B B B B B B B B B
4 4 2 4 4 2 4 4 2 4 4 2 4 4 2 4 4 2 4 4 2 4 4 2 4 4 2 4 4
---------- ---------- ---------- ---------- ---------- ------------ ------------ ------------ ------------ ------------ ------------ ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ---------- ----------
6 6 2 6 6 2 8 8 2 8 8 2 6 6 2 6 6 2 6 6 2 6 6 2 6 6 2 6 6
BIXOR #xx:3, @ERd B BIXOR #xx:3, @aa:8 B
719
Advanced
@(d, PC)
Normal
@@aa
#xx
Rn
Table A-1 Instruction Set (cont) 6. Branching instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
Mnemonic BRA d:8 (BT d:8) BRA d:16 (BT d:16) BRN d:8 (BF d:8) BRN d:16 (BF d:16) BHI d:8 BHI d:16 BLS d:8 BLS d:16 BCC d:8 (BHS d:8) BCC d:16 (BHS d:16) BCS d:8 (BLO d:8) BCS d:16 (BLO d:16) BNE d:8 BNE d:16 BEQ d:8 BEQ d:16 BVC d:8 BVC d:16 BVS d:8 BVS d:16 BPL d:8 BPL d:16 BMI d:8 BMI d:16 BGE d:8 BGE d:16 BLT d:8 BLT d:16 BGT d:8 BGT d:16
Operation
Branch Condition
@aa
Condition Code -- I HN Z V C
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
If condition Always is true then PC PC+d else Never next; CZ=0
2 4 2 4 2 4
------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------ ------------
4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6 4 6
CZ=1
2 4
C=0
2 4
C=1
2 4
Z=0
2 4
Z=1
2 4
V=0
2 4
V=1
2 4
N=0
2 4
N=1
2 4
NV = 0
2 4
NV = 1 Z (NV) =0
2 4 2 4
720
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont)
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
Mnemonic BLE d:8 BLE d:16
Operation
Branch Condition
@aa
Condition Code -- I HN Z V C
-- --
If condition Z (NV) = 1 is true then PC PC+d else next; 2 4
2 4
------------ ------------
4 6
JMP @ERn JMP @aa:24 JMP @@aa:8 BSR d:8
-- PC ERn -- PC aa:24 -- PC @aa:8 -- PC @-SP PC PC+d:8 -- PC @-SP PC PC+d:16 -- PC @-SP PC @ERn -- PC @-SP PC @aa:24 -- PC @-SP PC @aa:8 -- PC @SP+
------------ ------------ 2 2 ------------ ------------ 8 6
4 6 10 8
BSR d:16
4
------------
8
10
JSR @ERn
2
------------
6
JSR @aa:24
4
------------
8
10
JSR @@aa:8
2
------------
8
12
RTS
2 ------------
8
10
721
Advanced 8
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont) 7. System control instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic TRAPA #x:2
Operation
-- PC @-SP CCR @-SP PC -- CCR @SP+ PC @SP+ -- Transition to powerdown state B B #xx:8 CCR Rs8 CCR 2 2 4 6
2
1 ----------
14
16
RTE

10
SLEEP
------------
2
LDC #xx:8, CCR LDC Rs, CCR LDC @ERs, CCR LDC @(d:16, ERs), CCR LDC @(d:24, ERs), CCR LDC @ERs+, CCR
2 2 6 8
W @ERs CCR W @(d:16, ERs) CCR W @(d:24, ERs) CCR W @ERs CCR ERs32+2 ERs32 W @aa:16 CCR W @aa:24 CCR B CCR Rd8 2
10
12
4
8
LDC @aa:16, CCR LDC @aa:24, CCR STC CCR, Rd STC CCR, @ERd STC CCR, @(d:16, ERd) STC CCR, @(d:24, ERd) STC CCR, @-ERd
6 8
8 10 2 6 8
------------ 4 6 ------------ ------------
W CCR @ERd W CCR @(d:16, ERd) W CCR @(d:24, ERd) W ERd32-2 ERd32 CCR @ERd W CCR @aa:16 W CCR @aa:24 B B B CCR#xx:8 CCR CCR#xx:8 CCR CCR#xx:8 CCR 2 2 2
10
------------
12
4
------------
8
STC CCR, @aa:16 STC CCR, @aa:24 ANDC #xx:8, CCR ORC #xx:8, CCR XORC #xx:8, CCR NOP
6 8
------------ ------------
8 10 2 2 2 2
-- PC PC+2
2 ------------
722
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-1 Instruction Set (cont) 8. Block transfer instructions
Addressing Mode and Instruction Length (bytes) @-ERn/@ERn+ No. of States *1
Operand Size
@(d, ERn)
@aa
Condition Code -- I HN Z V C
Mnemonic EEPMOV. B
Operation
-- if R4L 0 then repeat @R5 @R6 R5+1 R5 R6+1 R6 R4L-1 R4L until R4L=0 else next -- if R4 0 then repeat @R5 @R6 R5+1 R5 R6+1 R6 R4-1 R4 until R4=0 else next
4 ------------
8+ 4n*2
EEPMOV. W
4 ------------
8+ 4n*2
Notes: 1. The number of states is the number of states required for execution when the instruction and its operands are located in on-chip memory. For other cases see section A.3, Number of States Required for Execution. 2. n is the value set in register R4L or R4. (1) Set to 1 when a carry or borrow occurs at bit 11; otherwise cleared to 0. (2) Set to 1 when a carry or borrow occurs at bit 27; otherwise cleared to 0. (3) Retains its previous value when the result is zero; otherwise cleared to 0. (4) Set to 1 when the adjustment produces a carry; otherwise retains its previous value. (5) The number of states required for execution of an instruction that transfers data in synchronization with the E clock is variable. (6) Set to 1 when the divisor is negative; otherwise cleared to 0. (7) Set to 1 when the divisor is zero; otherwise cleared to 0. (8) Set to 1 when the quotient is negative; otherwise cleared to 0.
723
Advanced
@(d, PC)
Normal
@@aa
@ERn
#xx
Rn
Table A-2 Operation Code Map (1)
1st byte 2nd byte AH AL BH BL Instruction when most significant bit of BH is 0. Instruction when most significant bit of BH is 1.
4 ORC ADD SUB Table A-2 Table A-2 (2) (2) CMP MOV OR.B XOR.B AND.B Table A-2 (2) XORC ANDC LDC Table A-2 Table A-2 (2) (2) 5 6 7 8 9 A B C D E ADDX SUBX F Table A-2 (2) Table A-2 (2) 2 STC LDC 3
Instruction code:
AL
AH
0
1
0
NOP
Table A-2 (2)
A.2 Operation Code Map
1
Table A-2 Table A-2 Table A-2 Table A-2 (2) (2) (2) (2)
2 MOV.B
3 BHI BVS MULXU BST OR BCLR BOR MOV BIOR ADD ADDX CMP SUBX OR XOR AND MOV BIXOR BIAND BILD BXOR BAND BTST BIST BLD XOR AND DIVXU RTS BSR RTE TRAPA Table A-2 (2) BLS BCC BCS BNE BEQ BVC BPL JMP MOV Table A-2 Table A-2 EEPMOV (2) (2) Table A-2 (3) BMI BGE BSR BLT BGT JSR BLE
4
BRA
BRN
5
MULXU
DIVXU
724
6
BSET
BNOT
7
8
9
A
B
C
D
E
F
Table A-2 Operation Code Map (2)
Instruction code: 1st byte 2nd byte AH AL BH BL
1 2 3 4 LDC/STC 5 6 7 8 SLEEP 9 A B C D E F Table A-2 (3)
BH AH AL 01 0A 0B 0F 10 11 12 13 17 1A 1B 1F 58 79 7A
0 MOV INC ADDS DAA SHLL SHLR ROTXL ROTXR NOT DEC SUBS DAS BRA MOV MOV
Table A-2 Table A-2 (3) (3) ADD
INC
INC
ADDS MOV
INC
INC
SHLL SHLR ROTXL ROTXR NOT EXTU EXTU
SHAL SHAR ROTL ROTR NEG
SHAL SHAR ROTL ROTR NEG SUB EXTS EXTS
725
DEC
DEC
SUB CMP
DEC
DEC
BRN ADD ADD
BHI CMP CMP
BLS SUB SUB
BCC OR OR
BCS XOR XOR
BNE AND AND
BEQ
BVC
BVS
BPL
BMI
BGE
BLT
BGT
BLE
Table A-2 Operation Code Map (3)
Instruction code: 1st byte 2nd byte 3rd byte 4th byte AH AL BH BL CH CL DH DL Instruction when most significant bit of DH is 0. Instruction when most significant bit of DH is 1.
CL AH ALBH BLCH 01406 01C05 01D05 01F06 7Cr06 * 1 BTST BOR BTST BIOR 7Dr06 * 1 7Dr07 * 1 7Eaa6 * 2 7Eaa7 * 2 7Faa6 * 2 7Faa7 * 2 BSET BSET BNOT BNOT BCLR BIST BCLR BSET BSET BNOT BNOT BCLR BIST BCLR BTST BOR BTST BIOR BIXOR BIAND BILD BST BXOR BAND BLD BIXOR BIAND BILD BST BXOR BAND BLD MULXS DIVXS MULXS DIVXS OR XOR AND 0 1 2 3 4 5 6 7 8 9 A B C D E F
LDC STC
LDC STC
LDC STC
LDC STC
726
7Cr07 * 1
Notes: 1. r is the register designation field. 2. aa is the absolute address field.
A.3 Number of States Required for Execution
The tables in this section can be used to calculate the number of states required for instruction execution by the H8/300H CPU. Table A-4 indicates the number of instruction fetch, data read/write, and other cycles occurring in each instruction. Table A-3 indicates the number of states required per cycle according to the bus size. The number of states required for execution of an instruction can be calculated from these two tables as follows: Number of states = I x SI + J x SJ + K x SK + L x SL + M x SM + N x SN Examples of Calculation of Number of States Required for Execution Examples: Advanced mode, stack located in external address space, on-chip supporting modules accessed with 8-bit bus width, external devices accessed in three states with one wait state and 16-bit bus width. BSET #0, @FFFFC7:8 From table A-4, I = L = 2 and J = K = M = N = 0 From table A-3, SI = 4 and SL = 3 Number of states = 2 x 4 + 2 x 3 = 14 JSR @@30 From table A-4, I = J = K = 2 and L = M = N = 0 From table A-3, SI = SJ = SK = 4 Number of states = 2 x 4 + 2 x 4 + 2 x 4 = 24
727
Table A-3 Number of States per Cycle
Access Conditions On-Chip Supporting Module Cycle Instruction fetch Branch address read Stack operation Byte data access Word data access Internal operation SI SJ SK SL SM SN 1 3 6 2 4 3+m 6 + 2m On-Chip Memory 2 8-Bit Bus 6 16-Bit Bus 3 External Device 8-Bit Bus 16-Bit Bus
2-State 3-State 2-State 3-State Access Access Access Access 4 6 + 2m 2 3+m
Legend m: Number of wait states inserted into external device access
728
Table A-4 Number of Cycles per Instruction
Instruction Branch Stack Byte Data Word Data Internal Fetch Addr. Read Operation Access Access Operation I J K L M N 1 1 2 1 3 1 1 1 1 1 1 2 1 3 2 1 1 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 1 1
Instruction Mnemonic ADD ADD.B #xx:8, Rd ADD.B Rs, Rd ADD.W #xx:16, Rd ADD.W Rs, Rd ADD.L #xx:32, ERd ADD.L ERs, ERd ADDS #1/2/4, ERd ADDX #xx:8, Rd ADDX Rs, Rd AND.B #xx:8, Rd AND.B Rs, Rd AND.W #xx:16, Rd AND.W Rs, Rd AND.L #xx:32, ERd AND.L ERs, ERd ANDC #xx:8, CCR BAND #xx:3, Rd BAND #xx:3, @ERd BAND #xx:3, @aa:8 BRA d:8 (BT d:8) BRN d:8 (BF d:8) BHI d:8 BLS d:8 BCC d:8 (BHS d:8) BCS d:8 (BLO d:8) BNE d:8 BEQ d:8 BVC d:8 BVS d:8 BPL d:8 BMI d:8 BGE d:8 BLT d:8 BGT d:8 BLE d:8
ADDS ADDX AND
ANDC BAND
Bcc
729
Table A-4 Number of Cycles per Instruction (cont)
Instruction Branch Stack Byte Data Word Data Internal Fetch Addr. Read Operation Access Access Operation I J K L M N 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 1 2 2 1 2 2 1 2 2 1 2 2 1 2 2 1 2 2 1 2 2 1 2 2 2 2 2 2 1 1 1 1 1 1 2 2 1 1 1 1 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2
Instruction Mnemonic Bcc BRA d:16 (BT d:16) BRN d:16 (BF d:16) BHI d:16 BLS d:16 BCC d:16 (BHS d:16) BCS d:16 (BLO d:16) BNE d:16 BEQ d:16 BVC d:16 BVS d:16 BPL d:16 BMI d:16 BGE d:16 BLT d:16 BGT d:16 BLE d:16 BCLR #xx:3, Rd BCLR #xx:3, @ERd BCLR #xx:3, @aa:8 BCLR Rn, Rd BCLR Rn, @ERd BCLR Rn, @aa:8 BIAND #xx:3, Rd BIAND #xx:3, @ERd BIAND #xx:3, @aa:8 BILD #xx:3, Rd BILD #xx:3, @ERd BILD #xx:3, @aa:8 BIOR #xx:8, Rd BIOR #xx:8, @ERd BIOR #xx:8, @aa:8 BIST #xx:3, Rd BIST #xx:3, @ERd BIST #xx:3, @aa:8 BIXOR #xx:3, Rd BIXOR #xx:3, @ERd BIXOR #xx:3, @aa:8 BLD #xx:3, Rd BLD #xx:3, @ERd BLD #xx:3, @aa:8
BCLR
BIAND
BILD
BIOR
BIST
BIXOR
BLD
730
Table A-4 Number of Cycles per Instruction (cont)
Instruction Branch Stack Byte Data Word Data Internal Fetch Addr. Read Operation Access Access Operation I J K L M N 1 2 2 1 2 2 1 2 2 1 2 2 1 2 2 2 2 2 2 1 2 2 1 2 2 1 2 2 1 2 2 1 1 2 1 3 1 1 1 1 2 1 2 2 2 1 1 1 1 1 1 2 2 2 2 2 2 1 1 2 2 2 2
Instruction Mnemonic BNOT BNOT #xx:3, Rd BNOT #xx:3, @ERd BNOT #xx:3, @aa:8 BNOT Rn, Rd BNOT Rn, @ERd BNOT Rn, @aa:8 BOR #xx:3, Rd BOR #xx:3, @ERd BOR #xx:3, @aa:8 BSET #xx:3, Rd BSET #xx:3, @ERd BSET #xx:3, @aa:8 BSET Rn, Rd BSET Rn, @ERd BSET Rn, @aa:8 BSR d:8 Normal* Advanced BSR d:16 Normal* Advanced BST BST #xx:3, Rd BST #xx:3, @ERd BST #xx:3, @aa:8 BTST #xx:3, Rd BTST #xx:3, @ERd BTST #xx:3, @aa:8 BTST Rn, Rd BTST Rn, @ERd BTST Rn, @aa:8 BXOR #xx:3, Rd BXOR #xx:3, @ERd BXOR #xx:3, @aa:8 CMP.B #xx:8, Rd CMP.B Rs, Rd CMP.W #xx:16, Rd CMP.W Rs, Rd CMP.L #xx:32, ERd CMP.L ERs, ERd DAA Rd DAS Rd
BOR
BSET
BSR
BTST
BXOR
CMP
DAA DAS
Note: * Not available in the H8/3048 Series.
731
Table A-4 Number of Cycles per Instruction (cont)
Instruction Branch Stack Byte Data Word Data Internal Fetch Addr. Read Operation Access Access Operation I J K L M N 1 1 1 2 2 1 1 2 2 1 1 1 1 1 1 1 2 2 1 2 1 2 1 2 1 2 1 2 2 2 2 2 2 2n + 2*2 2n + 2*2 12 20 12 20
Instruction Mnemonic DEC DEC.B Rd DEC.W #1/2, Rd DEC.L #1/2, ERd DIVXS.B Rs, Rd DIVXS.W Rs, ERd DIVXU.B Rs, Rd DIVXU.W Rs, ERd EEPMOV.B EEPMOV.W EXTS.W Rd EXTS.L ERd EXTU.W Rd EXTU.L ERd INC.B Rd INC.W #1/2, Rd INC.L #1/2, ERd JMP @ERn JMP @aa:24
DIVXS DIVXU EEPMOV EXTS EXTU INC
JMP
JMP @@aa:8 Normal*1 2 Advanced 2 JSR JSR @ERn Normal*1 2 Advanced 2 JSR @aa:24 Normal*1 2 Advanced 2 JSR @@aa:8 Normal*1 2 Advanced 2 LDC LDC #xx:8, CCR LDC Rs, CCR LDC @ERs, CCR LDC @(d:16, ERs), CCR LDC @(d:24, ERs), CCR LDC @ERs+, CCR LDC @aa:16, CCR LDC @aa:24, CCR 1 1 2 3 5 2 3 4
1 1 1 1 1 1
2
Notes: 1. Not available in the H8/3048 Series. 2. n is the value set in register R4L or R4. The source and destination are accessed n + 1 times each.
732
Table A-4 Number of Cycles per Instruction (cont)
Instruction Branch Stack Byte Data Word Data Internal Fetch Addr. Read Operation Access Access Operation I J K L M N 1 1 1 2 4 1 1 2 3 1 2 4 1 1 2 3 2 1 1 2 4 1 2 3 1 2 4 1 2 3 3 1 2 3 5 2 3 4 2 3 5 2 3 4
Instruction Mnemonic MOV MOV.B #xx:8, Rd MOV.B Rs, Rd MOV.B @ERs, Rd MOV.B @(d:16, ERs), Rd MOV.B @(d:24, ERs), Rd MOV.B @ERs+, Rd MOV.B @aa:8, Rd MOV.B @aa:16, Rd MOV.B @aa:24, Rd MOV.B Rs, @ERd MOV.B Rs, @(d:16, ERd) MOV.B Rs, @(d:24, ERd) MOV.B Rs, @-ERd MOV.B Rs, @aa:8 MOV.B Rs, @aa:16 MOV.B Rs, @aa:24 MOV.W #xx:16, Rd MOV.W Rs, Rd MOV.W @ERs, Rd MOV.W @(d:16, ERs), Rd MOV.W @(d:24, ERs), Rd MOV.W @ERs+, Rd MOV.W @aa:16, Rd MOV.W @aa:24, Rd MOV.W Rs, @ERd MOV.W Rs, @(d:16, ERd) MOV.W Rs, @(d:24, ERd) MOV.W Rs, @-ERd MOV.W Rs, @aa:16 MOV.W Rs, @aa:24 MOV.L #xx:32, ERd MOV.L ERs, ERd MOV.L @ERs, ERd MOV.L @(d:16, ERs), ERd MOV.L @(d:24, ERs), ERd MOV.L @ERs+, ERd MOV.L @aa:16, ERd MOV.L @aa:24, ERd MOV.L ERs, @ERd MOV.L ERs, @(d:16, ERd) MOV.L ERs, @(d:24, ERd) MOV.L ERs, @-ERd MOV.L ERs, @aa:16 MOV.L ERs, @aa:24
1 1 1 1 1 1 1 1 1 1 1 1 1 1
2
2
1 1 1 1 1 1 1 1 1 1 1 1
2
2
2 2 2 2 2 2 2 2 2 2 2 2
2
2
733
Table A-4 Number of Cycles per Instruction (cont)
Instruction Branch Stack Byte Data Word Data Internal Fetch Addr. Read Operation Access Access Operation I J K L M N 2 2 2 2 1 1 1 1 1 1 1 1 1 1 1 2 1 3 2 1 1 2 1 2 1 1 1 1 1 1 1 1 1 1 1 1 2 2 2 1 2 1 2 2 2 2 2 1 1 12 20 12 20
Instruction Mnemonic MOVFPE MOVTPE MULXS MULXU NEG MOVFPE @aa:16, Rd* MOVTPE Rs, @aa:16* MULXS.B Rs, Rd MULXS.W Rs, ERd MULXU.B Rs, Rd MULXU.W Rs, ERd NEG.B Rd NEG.W Rd NEG.L ERd NOP NOT.B Rd NOT.W Rd NOT.L ERd OR.B #xx:8, Rd OR.B Rs, Rd OR.W #xx:16, Rd OR.W Rs, Rd OR.L #xx:32, ERd OR.L ERs, ERd ORC #xx:8, CCR POP.W Rn POP.L ERn PUSH.W Rn PUSH.L ERn ROTL.B Rd ROTL.W Rd ROTL.L ERd ROTR.B Rd ROTR.W Rd ROTR.L ERd ROTXL.B Rd ROTXL.W Rd ROTXL.L ERd ROTXR.B Rd ROTXR.W Rd ROTXR.L ERd RTE
NOP NOT
OR
ORC POP PUSH ROTL
ROTR
ROTXL
ROTXR
RTE
Note: * Not available in the H8/3048 Series.
734
Table A-4 Number of Cycles per Instruction (cont)
Instruction Branch Stack Byte Data Word Data Internal Fetch Addr. Read Operation Access Access Operation I J K L M N Normal* 2 1 2 2 2
Instruction Mnemonic RTS RTS
Advanced 2 SHAL SHAL.B Rd SHAL.W Rd SHAL.L ERd SHAR.B Rd SHAR.W Rd SHAR.L ERd SHLL.B Rd SHLL.W Rd SHLL.L ERd SHLR.B Rd SHLR.W Rd SHLR.L ERd SLEEP 1 1 1 1 1 1 1 1 1 1 1 1 1
SHAR
SHLL
SHLR
SLEEP STC
STC CCR, Rd 1 STC CCR, @ERd 2 STC CCR, @(d:16, ERd) 3 STC CCR, @(d:24, ERd) 5 STC CCR, @-ERd 2 STC CCR, @aa:16 3 STC CCR, @aa:24 4 SUB.B Rs, Rd SUB.W #xx:16, Rd SUB.W Rs, Rd SUB.L #xx:32, ERd SUB.L ERs, ERd SUBS #1/2/4, ERd SUBX #xx:8, Rd SUBX Rs, Rd TRAPA #x:2 Normal* 1 2 1 3 1 1 1 1 2 1 2 2 2
1 1 1 1 1 1
2
SUB
SUBS SUBX TRAPA
4 4
Advanced 2 XOR XOR.B #xx:8, Rd XOR.B Rs, Rd XOR.W #xx:16, Rd XOR.W Rs, Rd XOR.L #xx:32, ERd XOR.L ERs, ERd XORC #xx:8, CCR 1 1 2 1 3 2 1
XORC
Note: * Not available in the H8/3048 Series.
735
Appendix B Internal I/O Register
B.1 Addresses
Data Bus Width Bit Names Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Module Name
Address Register (low) Name H'1C H'1D H'1E H'1F H'20 H'21 H'22 H'23 H'24 H'25 H'26 H'27 MAR0AR MAR0AE MAR0AH MAR0AL
8 8 8 8
DMAC channel 0A
ETCR0AH 8 ETCR0AL IOAR0A DTCR0A 8 8 8 DTE DTSZ DTID RPE DTIE DTS2 DTS1 DTS0 Short address mode Full address mode DMAC channel 0B
DTE
DTSZ
SAID
SAIDE
DTIE
DTS2A
DTS1A
DTS0A
H'28 H'29 H'2A H'2B H'2C H'2D H'2E H'2F
MAR0BR MAR0BE MAR0BH MAR0BL
8 8 8 8
ETCR0BH 8 ETCR0BL IOAR0B DTCR0B 8 8 8 DTE DTSZ DTID RPE DTIE DTS2 DTS1 DTS0 Short address mode Full address mode
DTME
--
DAID
DAIDE
TMS
DTS2B
DTS1B
DTS0B
Legend DMAC: DMA controller
(Continued on next page)
736
(Continued from preceding page)
Data Bus Width 8 8 8 8
Address Register (low) Name H'30 H'31 H'32 H'33 H'34 H'35 H'36 H'37 MAR1AR MAR1AE MAR1AH MAR1AL
Bit Names Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Module Name DMAC channel 1A
ETCR1AH 8 ETCR1AL IOAR1A DTCR1A 8 8 8 DTE DTSZ DTID RPE DTIE DTS2 DTS1 DTS0 Short address mode Full address mode DMAC channel 1B
DTE
DTSZ
SAID
SAIDE
DTIE
DTS2A
DTS1A
DTS0A
H'38 H'39 H'3A H'3B H'3C H'3D H'3E H'3F
MAR1BR MAR1BE MAR1BH MAR1BL
8 8 8 8
ETCR1BH 8 ETCR1BL IOAR1B DTCR1B 8 8 8 DTE DTSZ DTID RPE DTIE DTS2 DTS1 DTS0 Short address mode Full address mode Flash memory
DTME
--
DAID
DAIDE
TMS
DTS2B
DTS1B
DTS0B
H'40 H'41 H'42 H'43 H'44 H'45 H'46 H'47 H'48 H'49 H'4A H'4B
FLMCR -- EBR1 EBR2 -- -- -- -- RAMCR -- -- --
8 -- 8 8 -- -- -- -- 8 -- -- --
VPP -- LB7 SB7 -- -- -- -- FLER -- -- --
VPPE -- LB6 SB6 -- -- -- -- -- -- -- --
-- -- LB5 SB5 -- -- -- -- -- -- -- --
-- -- LB4 SB4 -- -- -- -- -- -- -- --
EV -- LB3 SB3 -- -- -- -- RAMS -- -- --
PV -- LB2 SB2 -- -- -- -- RAM2 -- -- --
E -- LB1 SB1 -- -- -- -- RAM1 -- -- --
P -- LB0 SB0 -- -- -- -- RAM0 -- -- --
Legend DMAC: DMA controller
(Continued on next page)
737
(Continued from preceding page)
Data Bus Width -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- 8 8 8 8 8 8 8 8 8 8 8 8 16 -- -- -- -- --
Address Register (low) Name H'4C H'4D H'4E H'4F H'50 H'51 H'52 H'53 H'54 H'55 H'56 H'57 H'58 H'59 H'5A H'5B H'5C H'5D H'5E H'5F H'60 H'61 H'62 H'63 H'64 H'65 H'66 H'67 H'68 H'69 H'6A H'6B H'6C H'6D H'6E H'6F -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- DASTCR DIVCR MSTCR CSCR TSTR TSNC TMDR TFCR TCR0 TIOR0 TIER0 TSR0 TCNT0H TCNT0L GRA0H GRA0L GRB0H GRB0L TCR1 TIOR1
Bit Names Bit 7 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Bit 6 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Bit 5 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Bit 4 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Bit 3 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Bit 2 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Bit 1 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- DIV1 Bit 0 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- DASTE DIV0 D/A converter System control Bus controller ITU (all channels) Module Name
PSTOP -- CS7E -- -- CS6E -- -- MDF -- CCLR1 IOB2 -- --
MSTOP5 MSTOP4 MSTOP3 MSTOP2 MSTOP1 MSTOP0 CS5E -- -- FDIR CMD1 CCLR0 IOB1 -- -- CS4E STR4 -- STR3 -- STR2 -- STR1 -- STR0
SYNC4 SYNC3 SYNC2 SYNC1 SYNC0 PWM4 CMD0 PWM3 BFB4 PWM2 BFA4 PWM1 BFB3 TPSC1 IOA1 IMIEB IMFB PWM0 BFA3 TPSC0 IOA0 IMIEA IMFA
CKEG1 CKEG0 TPSC2 IOB0 -- -- -- -- -- IOA2 OVIE OVF
ITU channel 0
16
16
8 8
-- --
CCLR1 IOB2
CCLR0 IOB1
CKEG1 CKEG0 TPSC2 IOB0 -- IOA2
TPSC1 IOA1
TPSC0 IOA0
ITU channel 1
Legend ITU: 16-bit integrated timer unit
(Continued on next page)
738
(Continued from preceding page)
Data Bus Width 8 8 16
Address Register (low) Name H'70 H'71 H'72 H'73 H'74 H'75 H'76 H'77 H'78 H'79 H'7A H'7B H'7C H'7D H'7E H'7F H'80 H'81 H'82 H'83 H'84 H'85 H'86 H'87 H'88 H'89 H'8A H'8B H'8C H'8D H'8E H'8F H'90 H'91 H'92 H'93 TIER1 TSR1 TCNT1H TCNT1L GRA1H GRA1L GRB1H GRB1L TCR2 TIOR2 TIER2 TSR2 TCNT2H TCNT2L GRA2H GRA2L GRB2H GRB2L TCR3 TIOR3 TIER3 TSR3 TCNT3H TCNT3L GRA3H GRA3L GRB3H GRB3L BRA3H BRA3L BRB3H BRB3L TOER TOCR TCR4 TIOR4
Bit Names Bit 7 -- -- Bit 6 -- -- Bit 5 -- -- Bit 4 -- -- Bit 3 -- -- Bit 2 OVIE OVF Bit 1 IMIEB IMFB Bit 0 IMIEA IMFA Module Name ITU channel 1
16
16
8 8 8 8 16
-- -- -- --
CCLR1 IOB2 -- --
CCLR0 IOB1 -- --
CKEG1 CKEG0 TPSC2 IOB0 -- -- -- -- -- IOA2 OVIE OVF
TPSC1 IOA1 IMIEB IMFB
TPSC0 IOA0 IMIEA IMFA
ITU channel 2
16
16
8 8 8 8 16
-- -- -- --
CCLR1 IOB2 -- --
CCLR0 IOB1 -- --
CKEG1 CKEG0 TPSC2 IOB0 -- -- -- -- -- IOA2 OVIE OVF
TPSC1 IOA1 IMIEB IMFB
TPSC0 IOA0 IMIEA IMFA
ITU channel 3
16
16
16
16
8 8 8 8
-- -- -- --
-- -- CCLR1 IOB2
EXB4 -- CCLR0 IOB1
EXA4 XTGD
EB3 --
EB4 --
EA4 OLS4 TPSC1 IOA1
EA3 OLS3 TPSC0 IOA0
ITU (all channels) ITU channel 4
CKEG1 CKEG0 TPSC2 IOB0 -- IOA2
Legend ITU: 16-bit integrated timer unit
(Continued on next page)
739
(Continued from preceding page)
Data Bus Width 8 8 16
Address Register (low) Name H'94 H'95 H'96 H'97 H'98 H'99 H'9A H'9B H'9C H'9D H'9E H'9F H'A0 H'A1 H'A2 H'A3 H'A4 TIER4 TSR4 TCNT4H TCNT4L GRA4H GRA4L GRB4H GRB4L BRA4H BRA4L BRB4H BRB4L TPMR TPCR NDERB NDERA NDRB*1 NDRA*1 NDRB*1 NDRA*1 TCSR*2 TCNT*2 --
Bit Names Bit 7 -- -- Bit 6 -- -- Bit 5 -- -- Bit 4 -- -- Bit 3 -- -- Bit 2 OVIE OVF Bit 1 IMIEB IMFB Bit 0 IMIEA IMFA Module Name ITU channel 4
16
16
16
16
8 8 8 8 8 8
--
--
--
--
G3NOV G2NOV G1NOV G0NOV
TPC
G3CMS1 G3CMS0 G2CMS1 G2CMS0 G1CMS1 G1CMS0 G0CMS1 G0CMS0 NDER15 NDER14 NDER13 NDER12 NDER11 NDER10 NDER9 NDER8 NDER7 NDER6 NDER5 NDER4 NDER3 NDER2 NDER1 NDER0 NDR15 NDR15 NDR7 NDR7 -- -- -- -- OVF NDR14 NDR14 NDR6 NDR6 -- -- -- -- WT/IT NDR13 NDR13 NDR5 NDR5 -- -- -- -- TME NDR12 NDR12 NDR4 NDR4 -- -- -- -- -- NDR11 -- NDR3 -- -- NDR11 -- NDR3 -- NDR10 -- NDR2 -- -- NDR10 -- NDR2 CKS2 NDR9 -- NDR1 -- -- NDR9 -- NDR1 CKS1 NDR8 -- NDR0 -- -- NDR8 -- NDR0 CKS0 WDT
H'A5
8 8
H'A6
8 8
H'A7
8 8
H'A8 H'A9 H'AA H'AB H'AC H'AD H'AE H'AF
8 8
-- WRST
--
--
-- --
-- --
-- --
-- --
-- -- RCYCE -- Refresh controller
RSTCSR*3 8 RFSHCR RTMCSR RTCNT RTCOR 8 8 8 8
RSTOE --
SRFMD PSRAME DRAME CAS/WE M9/M8 CMF CMIE CKS2 CKS1 CKS0
RFSHE -- -- --
Notes: 1. The address depends on the output trigger setting. 2. For write access to TCSR and TCNT, see section 12.2.4, Notes on Register Access. 3. For write access to RSTCSR, see section 12.2.4, Notes on Register Access. Legend ITU: 16-bit integrated timer unit TPC: Programmable timing pattern controller WDT: Watchdog timer
(Continued on next page)
740
(Continued from preceding page)
Data Bus Width 8 8 8 8 8 8 8 -- -- -- -- SDIR SINV -- SMIF TDRE RDRF ORER FER/ERS PER TEND MPB MPBT TIE RIE TE RE MPIE TEIE CKE1 CKE0
Address Register (low) Name H'B0 H'B1 H'B2 H'B3 H'B4 H'B5 H'B6 H'B7 H'B8 H'B9 H'BA H'BB H'BC H'BD H'BE H'BF H'C0 H'C1 H'C2 H'C3 H'C4 H'C5 H'C6 H'C7 H'C8 H'C9 H'CA H'CB H'CC H'CD H'CE H'CF H'D0 H'D1 H'D2 H'D3 P1DDR P2DDR P1DR P2DR P3DDR P4DDR P3DR P4DR P5DDR P6DDR P5DR P6DR -- P8DDR P7DR P8DR P9DDR PADDR P9DR PADR SMR BRR SCR TDR SSR RDR -- SMR BRR SCR TDR SSR RDR SCMR
Bit Names Bit 7 Bit 6 Bit 5 PE Bit 4 O/E Bit 3 STOP Bit 2 MP Bit 1 CKS1 Bit 0 CKS0 Module Name SCI channel 0 C/A/GM CHR
8 8 8 8 8 8
C/A
CHR
PE
O/E
STOP
MP
CKS1
CKS0
SCI channel 1
TIE
RIE
TE
RE
MPIE
TEIE
CKE1
CKE0
TDRE
RDRF
ORER
FER
PER
TEND
MPB
MPBT
--
--
--
--
--
--
--
--
8 8 8 8 8 8 8 8 8 8 8 8
P17DDR P16DDR P15DDR P14DDR P13DDR P12DDR P11DDR P10DDR P27DDR P26DDR P25DDR P24DDR P23DDR P22DDR P21DDR P20DDR P17 P27 P16 P26 P15 P25 P14 P24 P13 P23 P12 P22 P11 P21 P10 P20
Port 1 Port 2 Port 1 Port 2 Port 3 Port 4 Port 3 Port 4 Port 5 Port 6 Port 5 Port 6
P37DDR P36DDR P35DDR P34DDR P33DDR P32DDR P31DDR P30DDR P47DDR P46DDR P45DDR P44DDR P43DDR P42DDR P41DDR P40DDR P37 P47 -- -- -- -- -- P36 P46 -- P35 P45 -- P34 P44 -- P33 P43 P32 P42 P31 P41 P30 P40
P53DDR P52DDR P51DDR P50DDR P66DDR P65DDR P64DDR P63DDR P62DDR P61DDR P60DDR -- P65 -- -- P75 -- -- P64 -- P53 P63 -- P52 P62 -- P51 P61 -- P50 P60 --
-- P66 -- -- P76 -- --
8 8 8 8 8 8 8
-- P77 -- --
P74 P84
P84DDR P83DDR P82DDR P81DDR P80DDR P73 P72 P71 P70 P83 P82 P81 P80
Port 8 Port 7 Port 8 Port 9 Port A Port 9 Port A
P95DDR P94DDR P93DDR P92DDR P91DDR P90DDR P95 PA5 P94 PA4 P93 PA3 P92 PA2 P91 PA1 P90 PA0
PA7DDR PA6DDR PA5DDR PA4DDR PA3DDR PA2DDR PA1DDR PA0DDR -- PA7 -- PA6
Legend SCI: Serial communication interface
(Continued on next page)
741
(Continued from preceding page)
Data Bus Width 8 -- 8 --
Address Register (low) Name H'D4 H'D5 H'D6 H'D7 H'D8 H'D9 H'DA H'DB H'DC H'DD H'DE H'DF H'E0 H'E1 H'E2 H'E3 H'E4 H'E5 H'E6 H'E7 H'E8 H'E9 H'EA H'EB H'EC H'ED H'EE H'EF H'F0 H'F1 H'F2 H'F3 H'F4 H'F5 H'F6 H'F7 H'F8 H'F9 PBDDR -- PBDR -- P2PCR -- P4PCR P5PCR DADR0 DADR1 DACR -- ADDRAH ADDRAL ADDRBH ADDRBL ADDRCH ADDRCL ADDRDH ADDRDL ADCSR ADCR -- -- ABWCR ASTCR WCR WCER -- MDCR SYSCR BRCR ISCR IER ISR -- IPRA IPRB
Bit Names Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Module Name Port B -- Port B -- Port 2 PB7DDR PB6DDR PB5DDR PB4DDR PB3DDR PB2DDR PB1DDR PB0DDR -- PB7 -- -- PB6 -- -- PB5 -- -- PB4 -- -- PB3 -- -- PB2 -- -- PB1 -- -- PB0 --
P27PCR P26PCR P25PCR P24PCR P23PCR P22PCR P21PCR P20PCR -- 8 8 8 8 8 DAOE1 DAOE0 DAE -- 8 8 8 8 8 8 8 8 8 8 AD9 AD1 AD9 AD1 AD9 AD1 AD9 AD1 ADF TRGE -- -- 8 8 8 8 ABW7 AST7 -- WCE7 -- 8 8 8 8 8 8 -- SSBY A23E -- -- -- -- 8 8 IPRA7 IPRB7 -- AD8 AD0 AD8 AD0 AD8 AD0 AD8 AD0 ADIE -- -- -- ABW6 AST6 -- WCE6 -- -- STS2 A22E -- -- -- -- IPRA6 IPRB6 -- AD7 -- AD7 -- AD7 -- AD7 -- ADST -- -- -- ABW5 AST5 -- WCE5 -- -- STS1 A21E -- -- AD6 -- AD6 -- AD6 -- AD6 -- SCAN -- -- -- ABW4 AST4 -- WCE4 -- -- STS0 -- -- -- AD5 -- AD5 -- AD5 -- AD5 -- CKS -- -- -- ABW3 AST3 WMS1 WCE3 -- -- UE -- -- -- AD4 -- AD4 -- AD4 -- AD4 -- CH2 -- -- -- ABW2 AST2 WMS0 WCE2 -- MDS2 NMIEG -- -- -- AD3 -- AD3 -- AD3 -- AD3 -- CH1 -- -- -- ABW1 AST1 WC1 WCE1 -- MDS1 -- -- -- -- AD2 -- AD2 -- AD2 -- AD2 -- CH0 -- -- -- ABW0 AST0 WC0 WCE0 -- MDS0 RAME BRLE -- -- -- -- -- -- -- P47PCR P46PCR P45PCR P44PCR P43PCR P42PCR P41PCR P40PCR -- -- -- -- P53PCR P52PCR P51PCR P50PCR
Port 4 Port 5 D/A converter
A/D converter
Bus controller
System control
Bus controller Interrupt controller
IRQ5SC IRQ4SC IRQ3SC IRQ2SC IRQ1SC IRQ0SC IRQ5E IRQ5F -- IPRA5 IPRB5 IRQ4E IRQ4F -- IPRA4 -- IRQ3E IRQ3F -- IPRA3 IPRB3 IRQ2E IRQ2F -- IPRA2 IPRB2 IRQ1E IRQ1F -- IPRA1 IPRB1 IRQ0E IRQ0F -- IPRA0 --
(Continued on next page)
742
(Continued from preceding page)
Data Bus Width
Address Register (low) Name H'FA H'FB H'FC H'FD H'FE H'FF -- -- -- -- --
Bit Names Bit 7 -- -- Bit 6 -- -- Bit 5 -- -- Bit 4 -- -- Bit 3 -- -- Bit 2 -- -- Bit 1 -- -- Bit 0 -- -- Module Name
-- -- --
-- -- --
-- -- --
-- -- --
-- -- --
-- -- --
-- -- --
-- -- --
743
B.2 Function
Register acronym Register name Address to which the register is mapped Name of on-chip supporting module
TSTR Timer Start Register
H'60
ITU (all channels)
Bit numbers
Bit 7 -- Initial value Read/Write 1 -- 6 -- 1 -- 5 -- 1 -- 4 STR4 0 R/W 3 STR3 0 R/W 2 STR2 0 R/W 1 STR1 0 R/W 0 STR0 0 R/W
Initial bit values
Names of the bits. Dashes (--) indicate reserved bits.
Possible types of access R W Read only Write only
Counter start 0 0 TCNT0 is halted 1 TCNT0 is counting Counter start 1 0 TCNT1 is halted 1 TCNT1 is counting Counter start 2 0 TCNT2 is halted 1 TCNT2 is counting Counter start 3 0 TCNT3 is halted 1 TCNT3 is counting Counter start 4 0 TCNT4 is halted 1 TCNT4 is counting
R/W Read and write
Full name of bit
Descriptions of bit settings
744
MAR0A R/E/H/L--Memory Address Register 0A R/E/H/L
H'20, H'21, H'22, H'23
22 21 20 19 18
DMAC0
Bit Initial value Read/Write
31 1 --
30 1 --
29 1 --
28 1 --
27 1 --
26 1 --
25 1 --
24 1
23
17
16
Undetermined
-- R/W R/W R/W R/W R/W R/W R/W R/W MAR0AE
MAR0AR Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5
4
3
2
1
0
Undetermined
Undetermined
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W MAR0AH Source or destination address MAR0AL
745
ETCR0A H/L--Execute Transfer Count Register 0A H/L * Short address mode
H'24, H'25
DMAC0
I/O mode and idle mode
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Transfer counter
Repeat mode
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR0AH Transfer counter Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR0AL Initial count
746
ETCR0A H/L--Execute Transfer Count Register 0A H/L (cont) * Full address mode
H'24, H'25
DMAC0
Normal mode
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Transfer counter
Block transfer mode
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR0AH Block size counter Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR0AL Initial block size
747
IOAR0A--I/O Address Register 0A
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3
H'26
2 1
DMAC0
0
Undetermined R/W R/W R/W R/W R/W
Short address mode: source or destination address Full address mode: not used
748
DTCR0A--Data Transfer Control Register 0A *
Bit Initial value Read/Write
H'27
DMAC0
Short address mode
7 DTE 0 R/W 6 DTSZ 0 R/W 5 DTID 0 R/W 4 RPE 0 R/W 3 DTIE 0 R/W 2 DTS2 0 R/W 1 DTS1 0 R/W 0 DTS0 0 R/W
Data transfer select
Bit 2 Bit 1 Bit 0 DTS2 DTS1 DTS0 Data Transfer Activation Source 0 0 Compare match/input capture A interrupt from ITU channel 0 0 1 Compare match/input capture A interrupt from ITU channel 1 0 Compare match/input capture A interrupt from ITU channel 2 1 1 Compare match/input capture A interrupt from ITU channel 3 0 SCI0 transmit-data-empty interrupt 0 1 1 SCI0 receive-data-full interrupt 1 Transfer in full address mode (channel A) 0 1 Transfer in full address mode (channel A)
Data transfer interrupt enable
0 Interrupt requested by DTE bit is disabled 1 Interrupt requested by DTE bit is enabled
Repeat enable
RPE 0 1 DTIE 0 1 0 1 Description I/O mode Repeat mode Idle mode
Data transfer increment/decrement
0 Incremented: If DTSZ = 0, MAR is incremented by 1 after each transfer If DTSZ = 1, MAR is incremented by 2 after each transfer 1 Decremented: If DTSZ = 0, MAR is decremented by 1 after each transfer If DTSZ = 1, MAR is decremented by 2 after each transfer
Data transfer size
0 Byte-size transfer 1 Word-size transfer
Data transfer enable
0 Data transfer is disabled 1 Data transfer is enabled
749
DTCR0A--Data Transfer Control Register 0A (cont) *
Bit Initial value Read/Write
H'27
DMAC0
Full address mode
7 DTE 0 R/W 6 DTSZ 0 R/W 5 SAID 0 R/W 4 SAIDE 0 R/W 3 DTIE 0 R/W 2 DTS2A 0 R/W 1 DTS1A 0 R/W 0 DTS0A 0 R/W
Data transfer select 0A 0 Normal mode 1 Block transfer mode Data transfer select 2A and 1A Set both bits to 1 Data transfer interrupt enable 0 Interrupt request by DTE bit is disabled 1 Interrupt request by DTE bit is enabled Source address increment/decrement (bit 5) Source address increment/decrement enable (bit 4) Bit 5 Bit 4 SAID SAIDE Increment/Decrement Enable 0 0 MARA is held fixed 1 Incremented: If DTSZ = 0, MARA is incremented by 1 after each transfer If DTSZ = 1, MARA is incremented by 2 after each transfer 1 0 MARA is held fixed 1 Decremented: If DTSZ = 0, MARA is decremented by 1 after each transfer If DTSZ = 1, MARA is decremented by 2 after each transfer Data transfer size 0 Byte-size transfer 1 Word-size transfer Data transfer enable 0 Data transfer is disabled 1 Data transfer is enabled
750
MAR0B R/E/H/L--Memory Address Register 0B R/E/H/L
H'28, H'29, H'2A, H'2B
22 21 20 19 18
DMAC0
Bit Initial value Read/Write
31 1 --
30 1 --
29 1 --
28 1 --
27 1 --
26 1 --
25 1 --
24 1
23
17
16
Undetermined
-- R/W R/W R/W R/W R/W R/W R/W R/W MAR0BE
MAR0BR Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5
4
3
2
1
0
Undetermined
Undetermined
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W MAR0BH Source or destination address MAR0BL
751
ETCR0B H/L--Execute Transfer Count Register 0B H/L * Short address mode
H'2C, H'2D
DMAC0
I/O mode and idle mode
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Transfer counter
Repeat mode
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR0BH Transfer counter Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR0BL Initial count
752
ETCR0B H/L--Execute Transfer Count Register 0B H/L (cont) * Full address mode
H'2C, H'2D
DMAC0
Normal mode
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Not used
Block transfer mode
Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Block transfer counter
IOAR0B--I/O Address Register 0B
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3
H'2E
2 1
DMAC0
0
Undetermined R/W R/W R/W R/W R/W
Short address mode: source or destination address Full address mode: not used
753
DTCR0B--Data Transfer Control Register 0B * Short address mode
Bit Initial value Read/Write 7 DTE 0 R/W 6 DTSZ 0 R/W 5 DTID 0 R/W 4 RPE 0 R/W 3 DTIE 0 R/W
H'2F
DMAC0
2 DTS2 0 R/W
1 DTS1 0 R/W
0 DTS0 0 R/W
Data transfer select Bit 2 Bit 1 Bit 0 DTS2 DTS1 DTS0 0 0 0 1 0 1 1 0 0 1 1 0 1 1
Data Transfer Activation Source Compare match/input capture A interrupt from ITU channel 0 Compare match/input capture A interrupt from ITU channel 1 Compare match/input capture A interrupt from ITU channel 2 Compare match/input capture A interrupt from ITU channel 3 SCI0 transmit-data-empty interrupt SCI0 receive-data-full interrupt Falling edge of DREQ input Low level of DREQ input
Data transfer interrupt enable 0 Interrupt requested by DTE bit is disabled 1 Interrupt requested by DTE bit is enabled An interrupt request is issued to the CPU when the DTE bit = 0 Repeat enable RPE DTIE Description 0 0 I/O mode 1 0 1 Repeat mode 1 Idle mode Data transfer increment/decrement 0 Incremented: If DTSZ = 0, MAR is incremented by 1 after each transfer If DTSZ = 1, MAR is incremented by 2 after each transfer 1 Decremented: If DTSZ = 0, MAR is decremented by 1 after each transfer If DTSZ = 1, MAR is decremented by 2 after each transfer Data transfer size 0 Byte-size transfer 1 Word-size transfer Data transfer enable 0 Data transfer is disabled 1 Data transfer is enabled
754
DTCR0B--Data Transfer Control Register 0B cont * Full address mode
Bit Initial value Read/Write 7 DTME 0 R/W 6 -- 0 R/W 5 DAID 0 R/W 4 DAIDE 0 R/W 3 TMS 0 R/W
H'2F
DMAC0
2 DTS2B 0 R/W
1 DTS1B 0 R/W
0 DTS0B 0 R/W
Data transfer select 2B to 0B Bit 2 Bit 1 Bit 0 Data Transfer Activation Source DTS2B DTS1B DTS0B Normal Mode Block Transfer Mode 0 0 0 Auto-request Compare match/input capture (burst mode) A from ITU channel 0 Not available Compare match/input capture 1 A from ITU channel 1 Compare match/input capture Auto-request 0 1 A from ITU channel 2 (cycle-steal mode) Compare match/input capture Not available 1 A from ITU channel 3 Not available Not available 1 0 0 Not available Not available 1 Falling edge of DREQ Falling edge of DREQ 1 0 1 Low level input at DREQ Not available Transfer mode select 0 Destination is the block area in block transfer mode 1 Source is the block area in block transfer mode Destination address increment/decrement (bit 5) Destination address increment/decrement enable (bit 4) Bit 5 Bit 4 DAID DAIDE Increment/Decrement Enable 0 0 MARB is held fixed 1 Incremented: If DTSZ = 0, MARB is incremented by 1 after each transfer If DTSZ = 1, MARB is incremented by 2 after each transfer 1 0 MARB is held fixed 1 Decremented: If DTSZ = 0, MARB is decremented by 1 after each transfer If DTSZ = 1, MARB is decremented by 2 after each transfer Data transfer master enable 0 Data transfer is disabled 1 Data transfer is enabled
755
MAR1A R/E/H/L--Memory Address Register 1A R/E/H/L
H'30, H'31, H'32, H'33
22 21 20 19 18
DMAC1
Bit Initial value Read/Write
31 1 --
30 1 --
29 1 --
28 1 --
27 1 --
26 1 --
25 1 --
24 1
23
17
16
Undetermined
-- R/W R/W R/W R/W R/W R/W R/W R/W MAR1AE
MAR1AR Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5
4
3
2
1
0
Undetermined
Undetermined
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W MAR1AH MAR1AL
Note: Bit functions are the same as for DMAC0.
756
ETCR1A H/L--Execute Transfer Count Register 1A H/L
Bit Initial value Read/Write Bit Initial value Read/Write R/W R/W R/W 15 14 13 12 11 10 9 8 7 6
H'34, H'35
5 4 3 2
DMAC1
1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR1AH Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR1AL Note: Bit functions are the same as for DMAC0.
IOAR1A--I/O Address Register 1A
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3
H'36
2 1
DMAC1
0
Undetermined R/W R/W R/W R/W R/W
Note: Bit functions are the same as for DMAC0.
757
DTCR1A--Data Transfer Control Register 1A * Short address mode
Bit Initial value Read/Write 7 DTE 0 R/W 6 DTSZ 0 R/W 5 DTID 0 R/W 4 RPE 0 R/W 3 DTIE 0 R/W
H'37
DMAC1
2 DTS2 0 R/W
1 DTS1 0 R/W
0 DTS0 0 R/W
*
Full address mode
Bit Initial value Read/Write 7 DTE 0 R/W 6 DTSZ 0 R/W 5 SAID 0 R/W 4 SAIDE 0 R/W 3 DTIE 0 R/W 2 DTS2A 0 R/W 1 DTS1A 0 R/W 0 DTS0A 0 R/W
Note: Bit functions are the same as for DMAC0.
MAR1B R/E/H/L--Memory Address Register 1B R/E/H/L
H'38, H'39, H'3A, H'3B
22 21 20 19 18
DMAC1
Bit Initial value Read/Write
31 1 --
30 1 --
29 1 --
28 1 --
27 1 --
26 1 --
25 1 --
24 1
23
17
16
Undetermined
-- R/W R/W R/W R/W R/W R/W R/W R/W MAR1BE
MAR1BR Bit Initial value Read/Write 15 14 13 12 11 10 9 8 7 6 5
4
3
2
1
0
Undetermined
Undetermined
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W MAR1BH MAR1BL
Note: Bit functions are the same as for DMAC0.
758
ETCR1B H/L--Execute Transfer Count Register 1B H/L
Bit Initial value Read/Write Bit Initial value Read/Write R/W R/W R/W 15 14 13 12 11 10 9 8 7 6
H'3C, H'3D
5 4 3 2
DMAC1
1 0
Undetermined R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR1BH Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3 2 1 0
Undetermined R/W R/W R/W R/W R/W
ETCR1BL Note: Bit functions are the same as for DMAC0.
IOAR1B--I/O Address Register 1B
Bit Initial value Read/Write R/W R/W R/W 7 6 5 4 3
H'3E
2 1
DMAC1
0
Undetermined R/W R/W R/W R/W R/W
Note: Bit functions are the same as for DMAC0.
759
DTCR1B--Data Transfer Control Register 1B * Short address mode
Bit Initial value Read/Write 7 DTE 0 R/W 6 DTSZ 0 R/W 5 DTID 0 R/W 4 RPE 0 R/W 3 DTIE 0 R/W
H'3F
DMAC1
2 DTS2 0 R/W
1 DTS1 0 R/W
0 DTS0 0 R/W
*
Full address mode
Bit Initial value Read/Write 7 DTME 0 R/W 6 -- 0 R/W 5 DAID 0 R/W 4 DAIDE 0 R/W 3 TMS 0 R/W 2 DTS2B 0 R/W 1 DTS1B 0 R/W 0 DTS0B 0 R/W
Note: Bit functions are the same as for DMAC0.
760
FLMCR--Flash Memory Control Register
Bit 7 VPP Initial value* R/W 0 R 6 VPP E 0 R/W 5 -- 0 -- 4 -- 0 -- 3 EV 0 R/W* 2 PV 0 R/W* 1 E 0
H'40
0 P 0 R/W *
Flash memory
R/W *
Program mode 0 Exit from program mode 1 Transition to program mode Erase mode 0 Exit from erase mode 1 Transition to erase mode Program-verify mode 0 Exit from program-verify mode 1 Transition to program-verify mode Erase-verify mode 0 Exit from erase-verify mode 1 Transition to erase-verify mode VPP enable
0 VPP pin 12 V power supply is disabled 1 VPP pin 12 V power supply is enabled
(Initial value)
(Initial value)
(Initial value)
(Initial value)
(Initial value)
Programming power 0 Cleared when 12 V is not applied to VPP 1 Set when 12 V is applied to VPP
(Initial value)
Note: * The initial value is H'00 in modes 5, 6, and 7 (on-chip flash memory enabled). In modes 1, 2, 3, and 4 (on-chip flash memory disabled), this register cannot be modified and is always read as H'FF.
761
EBR1--Erase Block Register 1
Bit 7
LB7
H'42
5
LB5
Flash memory
0
LB0
6
LB6
4
LB4
3
LB3
2
LB2
1
LB1
Initial value* R/W
0 R/W*
0
0
0
0 R/W*
0 R/W*
0 R/W*
0 R/W*
R/W*
R/W*
R/W*
Large block 7 to 0 0 Block LB7 to LB0 is not selected 1 Block LB7 to LB0 is selected
(Initial value)
Note: * The initial value is H'00 in modes 5, 6 and 7 (on-chip flash memory enabled). In modes 1, 2, 3, and 4 (on-chip flash memory disabled), this register cannot be modified and is always read as H'FF.
EBR2--Erase Block Register 2
H'43
Flash memory
Bit
7
SB7
6
SB6
5
SB5
4
SB4
3
SB3
2
SB2
1
SB1
0
SB0
Initial value* R/W
0 R/W*
0
0
0
0 R/W*
0 R/W*
0 R/W*
0 R/W*
R/W*
R/W*
R/W*
Small block 7 to 0 0 Block SB7 to SB0 is not selected 1 Block SB7 to SB0 is selected
(Initial value)
Note: * The initial value is H'00 in modes 5, 6 and 7 (on-chip flash memory enabled). In modes 1, 2, 3, and 4 (on-chip flash memory disabled), this register cannot be modified and is always read as H'FF.
762
RAMCR--RAM Control Register
Bit 7
FLER
H'48
4 --
1
Flash memory
1 0
RAM0
6 -- 1
--
5 --
1
3
RAMS
2
RAM2
RAM1
Initial value* R/W
0 R
0 R/W
0 R/W
0 R/W
0 R/W
--
--
RAM select, RAM 2 to RAM 0 Bit 0 Bit 3 Bit 1 Bit 2 RAMS RAM 2 RAM 1 RAM 0 1/0 1/0 0 1/0 1 0 0 0 1 1 0 1 1 0 0 1 1 0 1 Flash memory error 0 Flash memory is not write/erase-protected (is not in error protect mode) 1 Flash memory is write/erase-protected (is in error protect mode)
RAM Area
H'FFF000 to H'FFF1FF H'01F000 to H'01F1FF H'01F200 to H'01F3FF H'01F400 to H'01F5FF H'01F600 to H'01F7FF H'01F800 to H'01F9FF H'01FA00 to H'01FBFF H'01FC00 to H'01FDFF H'01FE00 to H'01FFFF
(Initial value)
763
DASTCR--D/A Standby Control Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'5C
2 -- 1 --
System control
1 -- 1 -- 0 DASTE 0 R/W
D/A standby enable 0 D/A output is disabled in software standby mode 1 D/A output is enabled in software standby mode
DIVCR--Division Control Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 7 -- 1 -- 3 -- 1 --
H'5D
2 -- 1 --
System control
1 DIV1 0 R/W 0 DIV0 0 R/W
Divide 1 and 0 Bit 1 Bit 0 DIV1 DIV0 0 0 1 0 1 1
Frequency Division Ratio 1/1 1/2 1/4 1/8
764
MSTCR--Module Standby Control Register
Bit Initial value Read/Write 7 PSTOP 0 R/W 6 -- 1 -- 5 0 R/W 4 0 R/W 3 0 R/W 2 0
H'5E
1 0 R/W
System control
0 0 R/W
MSTOP5 MSTOP4 MSTOP3 MSTOP2 MSTOP1 MSTOP0 R/W
Module standby 0 0 A/D converter operates normally 1 A/D converter is in standby state Module standby 1 0 Refresh controller operates normally 1 Refresh controller is in standby state Module standby 2 0 DMAC operates normally 1 DMAC is in standby state Module standby 3 0 SCI1 operates normally 1 SCI1 is in standby state Module standby 4 0 SCI0 operates normally 1 SCI0 is in standby state Module standby 5 0 ITU operates normally 1 ITU is in standby state o clock stop 0 o clock output is enabled (Initial value) 1 o clock output is disabled (Initial value) (Initial value)
(Initial value)
(Initial value)
(Initial value)
(Initial value)
765
CSCR--Chip Select Control Register
Bit Initial value Read/Write 7 CS7E 0 R/W 6 CS6E 0 R/W 5 CS5E 0 R/W 4 CS4E 0 R/W 3 -- 1 --
H'5F
2 -- 1 --
System control
1 -- 1 -- 0 -- 1 --
Chip select 7 to 4 enable Bit n CSnE Description 0 Output of chip select signal CSn is disabled 1 Output of chip select signal CSn is enabled
(Initial value) (n = 7 to 4)
Bit Initial value Read/Write
7 -- 1 --
6 -- 1 --
5 -- 1 --
4 STR4 0 R/W
3 STR3 0 R/W
2 STR2 0 R/W
1 STR1 0 R/W
0 STR0 0 R/W
Counter start 0 0 TCNT0 is halted 1 TCNT0 is counting Counter start 1 0 TCNT1 is halted 1 TCNT1 is counting Counter start 2 0 TCNT2 is halted 1 TCNT2 is counting Counter start 3 0 TCNT3 is halted 1 TCNT3 is counting Counter start 4 0 TCNT4 is halted 1 TCNT4 is counting 766
TSTR--Timer Start Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 SYNC4 0 R/W 3 SYNC3 0 R/W
H'60
2 SYNC2 0 R/W
ITU (all channels)
1 SYNC1 0 R/W 0 SYNC0 0 R/W
Timer sync 0 0 TCNT0 operates independently 1 TCNT0 is synchronized Timer sync 1 0 TCNT1 operates independently 1 TCNT1 is synchronized Timer sync 2 0 TCNT2 operates independently 1 TCNT2 is synchronized Timer sync 3 0 TCNT3 operates independently 1 TCNT3 is synchronized Timer sync 4 0 TCNT4 operates independently 1 TCNT4 is synchronized
TSNC--Timer Synchro Register
H'61
ITU (all channels)
767
TMDR--Timer Mode Register
Bit Initial value Read/Write 7 -- 1 -- 6 MDF 0 R/W 5 FDIR 0 R/W 4 PWM4 0 R/W 3 PWM3 0 R/W
H'62
2 PWM2 0 R/W
ITU (all channels)
1 PWM1 0 R/W 0 PWM0 0 R/W
PWM mode 0 0 Channel 0 operates normally 1 Channel 0 operates in PWM mode PWM mode 1 0 Channel 1 operates normally 1 Channel 1 operates in PWM mode PWM mode 2 0 Channel 2 operates normally 1 Channel 2 operates in PWM mode PWM mode 3 0 Channel 3 operates normally 1 Channel 3 operates in PWM mode PWM mode 4 0 Channel 4 operates normally 1 Channel 4 operates in PWM mode Flag direction 0 OVF is set to 1 in TSR2 when TCNT2 overflows or underflows 1 OVF is set to 1 in TSR2 when TCNT2 overflows Phase counting mode flag 0 Channel 2 operates normally 1 Channel 2 operates in phase counting mode
768
TFCR--Timer Function Control Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 CMD1 0 R/W 4 CMD0 0 R/W 3 BFB4 0 R/W
H'63
2 BFA4 0 R/W
ITU (all channels)
1 BFB3 0 R/W 0 BFA3 0 R/W
Buffer mode A3 0 GRA3 operates normally 1 GRA3 is buffered by BRA3 Buffer mode B3 0 GRB3 operates normally 1 GRB3 is buffered by BRB3 Buffer mode A4 0 GRA4 operates normally 1 GRA4 is buffered by BRA4 Buffer mode B4 0 GRB4 operates normally 1 GRB4 is buffered by BRB4 Combination mode 1 and 0 Bit 5 Bit 4 CMD1 CMD0 Operating Mode of Channels 3 and 4 0 0 Channels 3 and 4 operate normally 1 0 1 Channels 3 and 4 operate together in complementary PWM mode 1 Channels 3 and 4 operate together in reset-synchronized PWM mode
769
TCR0--Timer Control Register 0
H'64
ITU0
Bit Initial value Read/Write
7 -- 1 --
6 CCLR1 0 R/W
5 CCLR0 0 R/W
4 0 R/W
3 0 R/W
2 TPSC2 0 R/W
1 TPSC1 0 R/W
0 TPSC0 0 R/W
CKEG1 CKEG0
Timer prescaler 2 to 0 Bit 2 Bit 0 Bit 1 TPSC2 TPSC1 TPSC0 0 0 0 1 0 1 1 0 0 1 1 0 1 1 Clock edge 1 and 0 Bit 4 Bit 3 CKEG1 CKEG0 0 0 1 1 --
TCNT Clock Source Internal clock: o Internal clock: o/2 Internal clock: o/4 Internal clock: o/8 External clock A: TCLKA input External clock B: TCLKB input External clock C: TCLKC input External clock D: TCLKD input
Counted Edges of External Clock Rising edges counted Falling edges counted Both edges counted
Counter clear 1 and 0 Bit 6 Bit 5 CCLR1 CCLR0 TCNT Clear Source 0 0 TCNT is not cleared 1 TCNT is cleared by GRA compare match or input capture 1 0 TCNT is cleared by GRB compare match or input capture 1 Synchronous clear: TCNT is cleared in synchronization with other synchronized timers
770
TIOR0--Timer I/O Control Register 0
Bit Initial value Read/Write 7 -- 1 -- 6 IOB2 0 R/W 5 IOB1 0 R/W 4 IOB0 0 R/W 3 -- 1 --
H'65
2 IOA2 0 R/W 1 IOA1 0 R/W
ITU0
0 IOA0 0 R/W
I/O control A2 to A0 Bit 2 Bit 1 Bit 0 IOA2 IOA1 IOA0 0 0 0 1 0 1 1 0 0 1 1 0 1 1 I/O control B2 to B0 Bit 6 Bit 5 Bit 4 IOB2 IOB1 IOB0 0 0 0 1 0 1 1 0 0 1 1 0 1 1
GRA Function GRA is an output compare register
GRA is an input capture register
No output at compare match 0 output at GRA compare match 1 output at GRA compare match Output toggles at GRA compare match GRA captures rising edge of input GRA captures falling edge of input GRA captures both edges of input
GRB Function GRB is an output compare register
GRB is an input capture register
No output at compare match 0 output at GRB compare match 1 output at GRB compare match Output toggles at GRB compare match GRB captures rising edge of input GRB captures falling edge of input GRB captures both edges of input
771
TIER0--Timer Interrupt Enable Register 0
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'66
2 OVIE 0 R/W 1 IMIEB 0 R/W
ITU0
0 IMIEA 0 R/W
Input capture/compare match interrupt enable A 0 IMIA interrupt requested by IMFA flag is disabled 1 IMIA interrupt requested by IMFA flag is enabled Input capture/compare match interrupt enable B 0 IMIB interrupt requested by IMFB flag is disabled 1 IMIB interrupt requested by IMFB flag is enabled Overflow interrupt enable 0 OVI interrupt requested by OVF flag is disabled 1 OVI interrupt requested by OVF flag is enabled
772
TSR0--Timer Status Register 0
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'67
2 OVF 0 R/(W)* 1 IMFB 0 R/(W)*
ITU0
0 IMFA 0 R/(W)*
Input capture/compare match flag A 0 [Clearing condition] Read IMFA when IMFA = 1, then write 0 in IMFA 1 [Setting conditions] TCNT = GRA when GRA functions as an output compare register. TCNT value is transferred to GRA by an input capture signal, when GRA functions as an input capture register. Input capture/compare match flag B 0 [Clearing condition] Read IMFB when IMFB = 1, then write 0 in IMFB 1 [Setting conditions] TCNT = GRB when GRB functions as an output compare register. TCNT value is transferred to GRB by an input capture signal, when GRB functions as an input capture register. Overflow flag 0 [Clearing condition] Read OVF when OVF = 1, then write 0 in OVF 1 [Setting condition] TCNT overflowed from H'FFFF to H'0000 or underflowed from H'0000 to H'FFFF Note: * Only 0 can be written, to clear the flag.
773
TCNT0 H/L--Timer Counter 0 H/L
Bit Initial value Read/Write 15 0 14 0 13 0 12 0 11 0 10 0 9 0 8 0 7 0 6 0
H'68, H'69
5 0 4 0 3 0 2 0 1 0
ITU0
0 0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Up-counter
GRA0 H/L--General Register A0 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'6A, H'6B
5 1 4 1 3 1 2 1 1 1
ITU0
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Output compare or input capture register
GRB0 H/L--General Register B0 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'6C, H'6D
5 1 4 1 3 1 2 1 1 1
ITU0
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Output compare or input capture register
TCR1--Timer Control Register 1
Bit Initial value Read/Write 7 -- 1 -- 6 CCLR1 0 R/W 5 CCLR0 0 R/W 4 0 R/W 3 0 R/W
H'6E
2 TPSC2 0 R/W 1 TPSC1 0 R/W
ITU1
0 TPSC0 0 R/W
CKEG1 CKEG0
Note: Bit functions are the same as for ITU0.
774
TIOR1--Timer I/O Control Register 1
Bit Initial value Read/Write 7 -- 1 -- 6 IOB2 0 R/W 5 IOB1 0 R/W 4 IOB0 0 R/W 3 -- 1 --
H'6F
2 IOA2 0 R/W 1 IOA1 0 R/W
ITU1
0 IOA0 0 R/W
Note: Bit functions are the same as for ITU0.
TIER1--Timer Interrupt Enable Register 1
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'70
2 OVIE 0 R/W 1 IMIEB 0 R/W
ITU1
0 IMIEA 0 R/W
Note: Bit functions are the same as for ITU0.
TSR1--Timer Status Register 1
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'71
2 OVF 0 R/(W)* 1 IMFB 0 R/(W)*
ITU1
0 IMFA 0 R/(W)*
Notes: Bit functions are the same as for ITU0. * Only 0 can be written, to clear the flag.
TCNT1 H/L--Timer Counter 1 H/L
Bit Initial value Read/Write 15 0 14 0 13 0 12 0 11 0 10 0 9 0 8 0 7 0 6 0
H'72, H'73
5 0 4 0 3 0 2 0 1 0
ITU1
0 0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU0.
775
GRA1 H/L--General Register A1 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'74, H'75
5 1 4 1 3 1 2 1 1 1
ITU1
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU0.
GRB1 H/L--General Register B1 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'76, H'77
5 1 4 1 3 1 2 1 1 1
ITU1
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU0.
TCR2--Timer Control Register 2
Bit Initial value Read/Write 7 -- 1 -- 6 CCLR1 0 R/W 5 CCLR0 0 R/W 4 0 R/W 3 0 R/W
H'78
2 TPSC2 0 R/W 1 TPSC1 0 R/W
ITU2
0 TPSC0 0 R/W
CKEG1 CKEG0
Notes: 1. Bit functions are the same as for ITU0. 2. When channel 2 is used in phase counting mode, the counter clock source selection by bits TPSC2 to TPSC0 is ignored.
776
TIOR2--Timer I/O Control Register 2
Bit Initial value Read/Write 7 -- 1 -- 6 IOB2 0 R/W 5 IOB1 0 R/W 4 IOB0 0 R/W 3 -- 1 --
H'79
2 IOA2 0 R/W 1 IOA1 0 R/W
ITU2
0 IOA0 0 R/W
Note: Bit functions are the same as for ITU0.
TIER2--Timer Interrupt Enable Register 2
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'7A
2 OVIE 0 R/W 1 IMIEB 0 R/W
ITU2
0 IMIEA 0 R/W
Note: Bit functions are the same as for ITU0.
TSR2--Timer Status Register 2
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- 2
H'7B
1 IMFB 0 R/(W)* 0 IMFA 0 R/(W)*
ITU2
OVF 0 R/(W)*
Note: * Only 0 can be written, to clear the flag. Bit functions are the same as for ITU0.
The function is the same as ITU0. Overflow flag 0 [Clearing condition] Read OVF when OVF = 1, then write 0 in OVF. [Setting condition] 1 The TCNT value overflows (from H'FFFF to H'0000) or underflows (from H'0000 to H'FFFF)
777
TCNT2 H/L--Timer Counter 2 H/L
Bit Initial value Read/Write 15 0 14 0 13 0 12 0 11 0 10 0 9 0 8 0 7 0 6 0
H'7C, H'7D
5 0 4 0 3 0 2 0 1 0
ITU2
0 0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Phase counting mode: up/down counter Other modes: up-counter
GRA2 H/L--General Register A2 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'7E, H'7F
5 1 4 1 3 1 2 1 1 1
ITU2
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU0.
GRB2 H/L--General Register B2 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'80, H'81
5 1 4 1 3 1 2 1 1 1
ITU2
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU0.
778
TCR3--Timer Control Register 3
Bit Initial value Read/Write 7 -- 1 -- 6 CCLR1 0 R/W 5 CCLR0 0 R/W 4 0 R/W 3 0 R/W
H'82
2 TPSC2 0 R/W 1 TPSC1 0 R/W
ITU3
0 TPSC0 0 R/W
CKEG1 CKEG0
Note: Bit functions are the same as for ITU0.
TIOR3--Timer I/O Control Register 3
Bit Initial value Read/Write 7 -- 1 -- 6 IOB2 0 R/W 5 IOB1 0 R/W 4 IOB0 0 R/W 3 -- 1 --
H'83
2 IOA2 0 R/W 1 IOA1 0 R/W
ITU3
0 IOA0 0 R/W
Note: Bit functions are the same as for ITU0.
TIER3--Timer Interrupt Enable Register 3
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'84
2 OVIE 0 R/W 1 IMIEB 0 R/W
ITU3
0 IMIEA 0 R/W
Note: Bit functions are the same as for ITU0.
779
TSR3--Timer Status Register 3
H'85
ITU3
Bit Initial value Read/Write
7 -- 1 --
6 -- 1 --
5 -- 1 --
4 -- 1 --
3 -- 1 --
2 OVF 0 R/(W)*
1 IMFB 0 R/(W)*
0 IMFA 0 R/(W)*
Overflow flag
Bit functions are the same as for ITU0
0 [Clearing condition] Read OVF when OVF = 1, then write 1 in OVF 1 [Setting condition] TCNT overflowed from H'FFFF to H'0000 or underflowed from H'0000 to H'FFFF Note: * Only 0 can be written, to clear the flag.
TCNT3 H/L--Timer Counter 3 H/L
Bit Initial value Read/Write 15 0 14 0 13 0 12 0 11 0 10 0 9 0 8 0 7 0 6 0
H'86, H'87
5 0 4 0 3 0 2 0 1 0
ITU3
0 0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Complementary PWM mode: up/down counter up-counter Other modes:
GRA3 H/L--General Register A3 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'88, H'89
5 1 4 1 3 1 2 1 1 1
ITU3
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Output compare or input capture register (can be buffered)
780
GRB3 H/L--General Register B3 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'8A, H'8B
5 1 4 1 3 1 2 1 1 1
ITU3
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Output compare or input capture register (can be buffered)
BRA3 H/L--Buffer Register A3 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'8C, H'8D
5 1 4 1 3 1 2 1 1 1
ITU3
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Used to buffer GRA
BRB3 H/L--Buffer Register B3 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'8E, H'8F
5 1 4 1 3 1 2 1 1 1
ITU3
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Used to buffer GRB
781
TOER--Timer Output Enable Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 EXB4 1 R/W 4 EXA4 1 R/W 3 EB3 1 R/W
H'90
2 EB4 1 R/W
ITU (all channels)
1 EA4 1 R/W 0 EA3 1 R/W
Master enable TIOCA3 0 TIOCA 3 output is disabled regardless of TIOR3, TMDR, and TFCR settings 1 TIOCA 3 is enabled for output according to TIOR3, TMDR, and TFCR settings Master enable TIOCA4 0 TIOCA 4 output is disabled regardless of TIOR4, TMDR, and TFCR settings 1 TIOCA 4 is enabled for output according to TIOR4, TMDR, and TFCR settings Master enable TIOCB4 0 TIOCB4 output is disabled regardless of TIOR4 and TFCR settings 1 TIOCB4 is enabled for output according to TIOR4 and TFCR settings Master enable TIOCB3 0 TIOCB 3 output is disabled regardless of TIOR3 and TFCR settings 1 TIOCB 3 is enabled for output according to TIOR3 and TFCR settings Master enable TOCXA4 0 TOCXA 4 output is disabled regardless of TFCR settings 1 TOCXA 4 is enabled for output according to TFCR settings Master enable TOCXB4 0 TOCXB4 output is disabled regardless of TFCR settings 1 TOCXB4 is enabled for output according to TFCR settings
782
TOCR--Timer Output Control Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 XTGD 1 R/W 3 -- 1 --
H'91
2 -- 1 --
ITU (all channels)
1 OLS4 1 R/W 0 OLS3 1 R/W
Output level select 3 0 TIOCB 3 , TOCXA 4 , and TOCXB 4 outputs are inverted 1 TIOCB 3 , TOCXA 4 , and TOCXB 4 outputs are not inverted Output level select 4 0 TIOCA 3 , TIOCA 4, and TIOCB4 outputs are inverted 1 TIOCA 3 , TIOCA 4, and TIOCB4 outputs are not inverted External trigger disable 0 Input capture A in channel 1 is used as an external trigger signal in reset-synchronized PWM mode and complementary PWM mode * 1 External triggering is disabled Note: * When an external trigger occurs, bits 5 to 0 in TOER are cleared to 0, disabling ITU output.
783
TCR4--Timer Control Register 4
Bit Initial value Read/Write 7 -- 1 -- 6 CCLR1 0 R/W 5 CCLR0 0 R/W 4 0 R/W 3 0 R/W
H'92
2 TPSC2 0 R/W 1 TPSC1 0 R/W
ITU4
0 TPSC0 0 R/W
CKEG1 CKEG0
Note: Bit functions are the same as for ITU0.
TIOR4--Timer I/O Control Register 4
Bit Initial value Read/Write 7 -- 1 -- 6 IOB2 0 R/W 5 IOB1 0 R/W 4 IOB0 0 R/W 3 -- 1 --
H'93
2 IOA2 0 R/W 1 IOA1 0 R/W
ITU4
0 IOA0 0 R/W
Note: Bit functions are the same as for ITU0.
TIER4--Timer Interrupt Enable Register 4
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'94
2 OVIE 0 R/W 1 IMIEB 0 R/W
ITU4
0 IMIEA 0 R/W
Note: Bit functions are the same as for ITU0.
TSR4--Timer Status Register 4
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'95
2 OVF 0 R/(W)* 1 IMFB 0 R/(W)*
ITU4
0 IMFA 0 R/(W)*
Notes: Bit functions are the same as for ITU0. * Only 0 can be written, to clear the flag.
784
TCNT4 H/L--Timer Counter 4 H/L
Bit Initial value Read/Write 15 0 14 0 13 0 12 0 11 0 10 0 9 0 8 0 7 0 6 0
H'96, H'97
5 0 4 0 3 0 2 0 1 0
ITU4
0 0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU3.
GRA4 H/L--General Register A4 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'98, H'99
5 1 4 1 3 1 2 1 1 1
ITU4
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU3.
GRB4 H/L--General Register B4 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'9A, H'9B
5 1 4 1 3 1 2 1 1 1
ITU4
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU3.
BRA4 H/L--Buffer Register A4 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'9C, H'9D
5 1 4 1 3 1 2 1 1 1
ITU4
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU3.
785
BRB4 H/L--Buffer Register B4 H/L
Bit Initial value Read/Write 15 1 14 1 13 1 12 1 11 1 10 1 9 1 8 1 7 1 6 1
H'9E, H'9F
5 1 4 1 3 1 2 1 1 1
ITU4
0 1
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Note: Bit functions are the same as for ITU3.
TPMR--TPC Output Mode Register
H'A0
TPC
Bit Initial value Read/Write
7 -- 1 --
6 -- 1 --
5 -- 1 --
4 -- 1 --
3 0 R/W
2 0 R/W
1 0 R/W
0 0 R/W
G3NOV G2NOV
G1NOV G0NOV
Group 0 non-overlap 0 Normal TPC output in group 0 Output values change at compare match A in the selected ITU channel 1 Non-overlapping TPC output in group 0, controlled by compare match A and B in the selected ITU channel Group 1 non-overlap 0 Normal TPC output in group 1 Output values change at compare match A in the selected ITU channel 1 Non-overlapping TPC output in group 1, controlled by compare match A and B in the selected ITU channel Group 2 non-overlap 0 Normal TPC output in group 2 Output values change at compare match A in the selected ITU channel 1 Non-overlapping TPC output in group 2, controlled by compare match A and B in the selected ITU channel Group 3 non-overlap 0 Normal TPC output in group 3 Output values change at compare match A in the selected ITU channel 1 Non-overlapping TPC output in group 3, controlled by compare match A and B in the selected ITU channel
786
TPCR--TPC Output Control Register
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W
H'A1
2 1 R/W 1 1 R/W 0 1
TPC
G3CMS1 G3CMS0 G2CMS1 G2CMS0 G1CMS1 G1CMS0 G0CMS1 G0CMS0 R/W
Group 0 compare match select 1 and 0 Bit 1 Bit 0 G0CMS1 G0CMS0 0 0 1 1 0 1 ITU Channel Selected as Output Trigger TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 0 TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 1 TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 2 TPC output group 0 (TP3 to TP0) is triggered by compare match in ITU channel 3
Group 1 compare match select 1 and 0 Bit 3 Bit 2 G1CMS1 G1CMS0 0 0 1 1 0 1 ITU Channel Selected as Output Trigger TPC output group 1 (TP7 to TP4 ) is triggered by compare match in ITU channel 0 TPC output group 1 (TP7 to TP4 ) is triggered by compare match in ITU channel 1 TPC output group 1 (TP7 to TP4 ) is triggered by compare match in ITU channel 2 TPC output group 1 (TP7 to TP4 ) is triggered by compare match in ITU channel 3
Group 2 compare match select 1 and 0 Bit 5 Bit 4 G2CMS1 G2CMS0 0 0 1 1 0 1 ITU Channel Selected as Output Trigger TPC output group 2 (TP11 to TP8 ) is triggered by compare match in ITU channel 0 TPC output group 2 (TP11 to TP8 ) is triggered by compare match in ITU channel 1 TPC output group 2 (TP11 to TP8 ) is triggered by compare match in ITU channel 2 TPC output group 2 (TP11 to TP8 ) is triggered by compare match in ITU channel 3
Group 3 compare match select 1 and 0 Bit 7 Bit 6 G3CMS1 G3CMS0 0 0 1 1 0 1 ITU Channel Selected as Output Trigger TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 0 TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 1 TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 2 TPC output group 3 (TP15 to TP12) is triggered by compare match in ITU channel 3
787
NDERB--Next Data Enable Register B
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
H'A2
2 0 R/W 1 0 R/W
TPC
0 NDER8 0 R/W
NDER15 NDER14 NDER13 NDER12 NDER11 NDER10 NDER9
Next data enable 15 to 8 Bits 7 to 0 NDER15 to NDER8 Description 0 TPC outputs TP15 to TP8 are disabled (NDR15 to NDR8 are not transferred to PB 7 to PB 0 ) TPC outputs TP15 to TP8 are enabled 1 (NDR15 to NDR8 are transferred to PB 7 to PB 0 )
NDERA--Next Data Enable Register A
Bit Initial value Read/Write 7 NDER7 0 R/W 6 NDER6 0 R/W 5 NDER5 0 R/W 4 NDER4 0 R/W 3 NDER3 0 R/W
H'A3
2 NDER2 0 R/W 1 NDER1 0 R/W
TPC
0 NDER0 0 R/W
Next data enable 7 to 0 Bits 7 to 0 NDER7 to NDER0 Description 0 TPC outputs TP 7 to TP0 are disabled (NDR7 to NDR0 are not transferred to PA 7 to PA 0) TPC outputs TP 7 to TP0 are enabled 1 (NDR7 to NDR0 are transferred to PA 7 to PA 0)
788
NDRB--Next Data Register B * Same trigger for TPC output groups 2 and 3
H'A4/H'A6
TPC
Address H'FFA4
Bit Initial value Read/Write 7 NDR15 0 R/W 6 NDR14 0 R/W 5 NDR13 0 R/W 4 NDR12 0 R/W 3 NDR11 0 R/W 2 NDR10 0 R/W 1 NDR9 0 R/W 0 NDR8 0 R/W
Store the next output data for TPC output group 3
Store the next output data for TPC output group 2
Address H'FFA6
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
*
Different triggers for TPC output groups 2 and 3
Address H'FFA4
Bit Initial value Read/Write 7 NDR15 0 R/W 6 NDR14 0 R/W 5 NDR13 0 R/W 4 NDR12 0 R/W 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Store the next output data for TPC output group 3
Address H'FFA6
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 NDR11 0 R/W 2 NDR10 0 R/W 1 NDR9 0 R/W 0 NDR8 0 R/W
Store the next output data for TPC output group 2
789
NDRA--Next Data Register A * Same trigger for TPC output groups 0 and 1
H'A5/H'A7
TPC
Address H'FFA5
Bit Initial value Read/Write 7 NDR7 0 R/W 6 NDR6 0 R/W 5 NDR5 0 R/W 4 NDR4 0 R/W 3 NDR3 0 R/W 2 NDR2 0 R/W 1 NDR1 0 R/W 0 NDR0 0 R/W
Store the next output data for TPC output group 1
Store the next output data for TPC output group 0
Address H'FFA7
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
*
Different triggers for TPC output groups 0 and 1
Address H'FFA5
Bit Initial value Read/Write 7 NDR7 0 R/W 6 NDR6 0 R/W 5 NDR5 0 R/W 4 NDR4 0 R/W 3 -- 1 -- 2 -- 1 -- 1 -- 1 -- 0 -- 1 --
Store the next output data for TPC output group 1
Address H'FFA7
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 NDR3 0 R/W 2 NDR2 0 R/W 1 NDR1 0 R/W 0 NDR0 0 R/W
Store the next output data for TPC output group 0
790
TCSR--Timer Control/Status Register
Bit Initial value Read/Write 7 OVF 0 R/(W)* 6 WT/ IT 0 R/W 5 TME 0 R/W 4 -- 1 -- 3 -- 1 --
H'A8
2 CKS2 0 R/W 1 CKS1 0 R/W
WDT
0 CKS0 0 R/W
Timer enable 0 Timer disabled * TCNT is initialized to H'00 and halted 1 Timer enabled * TCNT is counting * CPU interrupt requests are enabled Timer mode select 0 Interval timer: requests interval timer interrupts 1 Watchdog timer: generates a reset signal Overflow flag 0 [Clearing condition] Read OVF when OVF = 1, then write 0 in OVF 1 [Setting condition] TCNT changes from H'FF to H'00
Clock select 2 to 0 0 0 0 1 0 1 1 0 0 1 1 0 1 1
o/2 o/32 o/64 o/128 o/256 o/512 o/2048 o/4096
Note: * Only 0 can be written, to clear the flag.
791
TCNT--Timer Counter
H'A9 (read), H'A8 (write)
6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W
WDT
Bit Initial value Read/Write
7 0 R/W
0 0 R/W
Count value
RSTCSR--Reset Control/Status Register
H'AB (read), H'AA (write)
4 -- 1 -- 3 -- 1 -- 2 -- 1 -- 1 -- 1 --
WDT
Bit Initial value Read/Write
7 WRST 0 R/(W)*
6 RSTOE 0 R/W
5 -- 1 --
0 -- 1 --
Reset output enable 0 External output of reset signal is disabled 1 External output of reset signal is enabled Watchdog timer reset 0 [Clearing condition] * Reset signal input at RES pin * When WRST= "1", write "0" after reading WRST flag 1 [Setting condition] TCNT overflow generates a reset signal Note: * Only 0 can be written in bit 7, to clear the flag.
792
RFSHCR--Refresh Control Register
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 M9/M8 0 R/W
H'AC
2 RFSHE 0 R/W
Refresh controller
1 -- 1 -- 0 RCYCE 0 R/W
SRFMD PSRAME DRAME CAS/WE
Refresh cycle enable 0 Refresh cycles are disabled 1 Refresh cycles are enabled for area 3 Refresh pin enable 0 Refresh signal output at the RFSH pin is disabled 1 Refresh signal output at the RFSH pin is enabled Address multiplex mode select 0 8-bit column mode 1 9-bit column mode Strobe mode select 0 2 WE mode 1 2 CAS mode PSRAM enable, DRAM enable Bit 6 Bit 5 PSRAME DRAME RAM Interface 0 0 Can be used as an interval timer (DRAM and PSRAM cannot be directly connected) 1 1 0 1 DRAM can be directly connected PSRAM can be directly connected Illegal setting
Self-refresh mode 0 DRAM or PSRAM self-refresh is disabled in software standby mode 1 DRAM or PSRAM self-refresh is enabled in software standby mode
793
RTMCSR--Refresh Timer Control/Status Register
Bit Initial value Read/Write 7 CMF 0 R/(W)* 6 CMIE 0 R/W 5 CKS2 0 R/W 4 CKS1 0 R/W 3 CKS0 0 R/W
H'AD
2 -- 1 --
Refresh controller
1 -- 1 -- 0 -- 1 --
Clock select 2 to 0 Bit 5 Bit 4 Bit 3 CKS2 CKS1 CKS0 0 0 0 1 0 1 1 0 0 1 1 0 1 1
Counter Clock Source Clock input is disabled o/2 o/8 o/32 o/128 o/512 o/2048 o/4096
Compare match interrupt enable 0 The CMI interrupt requested by CMF is disabled 1 The CMI interrupt requested by CMF is enabled Compare match flag 0 [Clearing condition] Read CMF when CMF = 1, then write 0 in CMF 1 [Setting condition] RTCNT = RTCOR
Note: * Only 0 can be written, to clear the flag.
794
RTCNT--Refresh Timer Counter
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
H'AE
2 0 R/W
Refresh controller
1 0 R/W 0 0 R/W
Count value
RTCOR--Refresh Time Constant Register
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W
H'AF
2 1 R/W
Refresh controller
1 1 R/W 0 1 R/W
Interval at which RTCNT and compare match are set
795
SMR--Serial Mode Register
Bit Initial value Read/Write 7 C/A GM 0 R/W 6 CHR 0 R/W 5 PE 0 R/W 7 O/ E 0 R/W 3 STOP 0 R/W
H'B0
2 MP 0 R/W 1 CKS1 0 R/W 0
SCI0
CKS0 0 R/W
Multiprocessor mode 0 Multiprocessor function disabled 1 Multiprocessor format selected Stop bit length 0 One stop bit 1 Two stop bits Parity mode 0 Even parity 1 Odd parity Parity enable 0 Parity bit is not added or checked 1 Parity bit is added and checked Character length 0 8-bit data 1 7-bit data Communication mode (when using a serial communication interface) 0 Asynchronous mode 1 Synchronous mode GSM mode (when using a smart card interface) 0 Regular smart card interface operation 1 GSM mode smart card interface operation
Clock select 1 and 0 Bit 1 Bit 0 CKS1 CKS0 Clock Source 0 0 o clock 1 o/4 clock o/16 clock 0 1 o/64 clock 1
796
BRR--Bit Rate Register
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W
H'B1
2 1 R/W 1 1 R/W
SCI0
0 1 R/W
Serial communication bit rate setting
797
SCR--Serial Control Register
Bit Initial value Read/Write 7 TIE 0 R/W 6 RIE 0 R/W 5 TE 0 R/W 4 RE 0 R/W 3 MPIE 0 R/W
H'B2
2 TEIE 0 R/W 1 CKE1 0 R/W 0
SCI0
CKE0 0 R/W
Clock enable 1 and 0 Bit 1 Bit 0 CKE1 CKE0 Clock Selection and Output 0 0 Asynchronous mode Internal clock, SCK pin available for generic I/O Synchronous mode Internal clock, SCK pin used for serial clock output Asynchronous mode Internal clock, SCK pin used for clock output 1 Synchronous mode Internal clock, SCK pin used for serial clock output Asynchronous mode External clock, SCK pin used for clock input 1 0 Synchronous mode External clock, SCK pin used for serial clock input Asynchronous mode External clock, SCK pin used for clock input 1 Synchronous mode External clock, SCK pin used for serial clock input Transmit-end interrupt enable 0 Transmit-end interrupt requests (TEI) are disabled 1 Transmit-end interrupt requests (TEI) are enabled Multiprocessor interrupt enable 0 Multiprocessor interrupts are disabled (normal receive operation) 1 Multiprocessor interrupts are enabled Transmit enable 0 Transmitting is disabled 1 Transmitting is enabled Receive enable 0 Receiving is disabled 1 Receiving is enabled
Receive interrupt enable 0 Receive-data-full (RXI) and receive-error (ERI) interrupt requests are disabled 1 Receive-data-full (RXI) and receive-error (ERI) interrupt requests are enabled Transmit interrupt enable 0 Transmit-data-empty interrupt request (TXI) is disabled 1 Transmit-data-empty interrupt request (TXI) is enabled
798
TDR--Transmit Data Register
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W
H'B3
2 1 R/W 1 1 R/W
SCI0
0 1 R/W
Serial transmit data
799
SSR--Serial Status Register
Bit Initial value Read/Write 7 TDRE 1 R/(W)* 6 RDRF 0 R/(W)* 5 0 R/(W)* 4 0 R/(W)* 3 PER 0 R/(W)* ORER FER/ERS
H'B4
2 TEND 1 R 1 MPB 0 R
SCI0
0 MPBT 0 R/W
Multiprocessor bit
0 1 Multiprocessor bit value in receive data is 0 Multiprocessor bit value in receive data is 1
Multiprocessor bit transfer
0 1 Multiprocessor bit value in transmit data is 0 Multiprocessor bit value in transmit data is 1
Parity error
0 [Clearing conditions] Reset or transition to standby mode. Read PER when PER = 1, then write 0 in PER. [Setting condition] Parity error: (parity of receive data does not match parity setting O/E bit in SMR)
Transmit end
0 [Clearing conditions] Read TDRE when TDRE = 1, then write 0 in TDRE. The DMAC writes data in TDR. [Setting conditions] Reset or transition to standby mode. TE is cleared to 0 in SCR and FER/ERS is cleared to 0. TDRE is 1 when last bit of 1-byte serial character is transmitted.
1
1
Error signal status (for smart card interface) Framing error (for SCI0)
0 [Clearing conditions] Reset or transition to standby mode. Read FER when FER = 1, then write 0 in FER. [Setting condition] Framing error (stop bit is 0) 0 [Clearing conditions] Reset or transition to standby mode. Read ERS when ERS = 1, then write 0 in ERS. [Setting condition] A low error signal is received.
1
1
Overrun error Receive data register full
0 [Clearing conditions] Reset or transition to standby mode. Read RDRF when RDRF = 1, then write 0 in RDRF. The DMAC reads data from RDR. [Setting condition] Serial data is received normally and transferred from RSR to RDR 0 [Clearing conditions] Reset or transition to standby mode. Read ORER when ORER = 1, then write 0 in ORER. [Setting condition] Overrun error (reception of next serial data ends when RDRF = 1)
1
1
Transmit data register empty
0 [Clearing conditions] Read TDRE when TDRE = 1, then write 0 in TDRE. The DMAC writes data in TDR. [Setting conditions] Reset or transition to standby mode. TE is 0 in SCR Data is transferred from TDR to TSR, enabling new data to be written in TDR.
1
Note: * Only 0 can be written, to clear the flag.
800
RDR--Receive Data Register
Bit Initial value Read/Write 7 0 R 6 0 R 5 0 R 4 0 R 3 0 R
H'B5
2 0 R 1 0 R
SCI0
0 0 R
Serial receive data
SCMR--Smart Card Mode Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 SDIR 0 R/W
H'B6
2 SINV 0 R/W 1 -- 1 -- 0
SCI0
SMIF 0 R/W
Smart card interface mode select 0 Smart card interface function is disabled 1 Smart card interface function is enabled Smart card data invert 0 Unmodified TDR contents are transmitted Received data is stored unmodified in RDR
(Initial value)
(Initial value)
1 Inverted TDR contents are transmitted Received data are inverted before storage in RDR Smart card data transfer direction 0 TDR contents are transmitted LSB-first (Initial value) Received data is stored LSB-first in RDR 1 TDR contents are transmitted MSB-first Received data is stored MSB-first in RDR
801
SMR--Serial Mode Register
Bit Initial value Read/Write 7 C/ A 0 R/W 6 CHR 0 R/W 5 PE 0 R/W 4 O/ E 0 R/W 3 STOP 0 R/W
H'B8
2 MP 0 R/W 1 CKS1 0 R/W
SCI1
0 CKS0 0 R/W
Note: Bit functions are the same as for SCI0.
BRR--Bit Rate Register
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W
H'B9
2 1 R/W 1 1 R/W
SCI1
0 1 R/W
Note: Bit functions are the same as for SCI0.
SCR--Serial Control Register
Bit Initial value Read/Write 7 TIE 0 R/W 6 RIE 0 R/W 5 TE 0 R/W 4 RE 0 R/W 3 MPIE 0 R/W
H'BA
2 TEIE 0 R/W 1 CKE1 0 R/W
SCI1
0 CKE0 0 R/W
Note: Bit functions are the same as for SCI0.
802
TDR--Transmit Data Register
Bit Initial value Read/Write 7 1 R/W 6 1 R/W 5 1 R/W 4 1 R/W 3 1 R/W
H'BB
2 1 R/W 1 1 R/W
SCI1
0 1 R/W
Note: Bit functions are the same as for SCI0.
SSR--Serial Status Register
Bit Initial value Read/Write 7 TDRE 1 R/(W)* 6 RDRF 0 R/(W)* 5 ORER 0 R/(W)* 4 FER 0 R/(W)* 3 PER 0 R/(W)*
H'BC
2 TEND 1 R 1 MPB 0 R
SCI1
0 MPBT 0 R/W
Notes: Bit functions are the same as for SCI0. * Only 0 can be written, to clear the flag.
RDR--Receive Data Register
Bit Initial value Read/Write 7 0 R 6 0 R 5 0 R 4 0 R 3 0 R
H'BD
2 0 R 1 0 R
SCI1
0 0 R
Note: Bit functions are the same as for SCI0.
803
P1DDR--Port 1 Data Direction Register
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 1 -- 0 W 6 1 -- 0 W 5 1 -- 0 W 4 1 -- 0 W 3 1 -- 0 W
H'C0
2 1 -- 0 W 1 1 -- 0 W
Port 1
0 1 -- 0 W
P17 DDR P16 DDR P15 DDR P14 DDR P13 DDR P12 DDR P11 DDR P10 DDR
Port 1 input/output select 0 Generic input pin 1 Generic output pin
P2DDR--Port 2 Data Direction Register
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 1 -- 0 W 6 1 -- 0 W 5 1 -- 0 W 4 1 -- 0 W 3 1 -- 0 W
H'C1
2 1 -- 0 W 1 1 -- 0 W
Port 2
0 1 -- 0 W
P27 DDR P26 DDR P25 DDR P24 DDR P23 DDR P22 DDR P21 DDR P20 DDR
Port 2 input/output select 0 Generic input pin 1 Generic output pin
P1DR--Port 1 Data Register
Bit Initial value Read/Write 7 P17 0 R/W 6 P16 0 R/W 5 P15 0 R/W 4 P14 0 R/W 3 P13 0 R/W
H'C2
2 P12 0 R/W 1 P11 0 R/W
Port 1
0 P10 0 R/W
Data for port 1 pins
804
P2DR--Port 2 Data Register
Bit Initial value Read/Write 7 P2 7 0 R/W 6 P2 6 0 R/W 5 P2 5 0 R/W 4 P2 4 0 R/W 3 P2 3 0 R/W
H'C3
2 P2 2 0 R/W 1 P2 1 0 R/W
Port 2
0 P2 0 0 R/W
Data for port 2 pins
P3DDR--Port 3 Data Direction Register
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W
H'C4
2 0 W 1 0 W
Port 3
0 0 W
P3 7 DDR P3 6 DDR P3 5 DDR P3 4 DDR P3 3 DDR P3 2 DDR P3 1 DDR P3 0 DDR
Port 3 input/output select 0 Generic input pin 1 Generic output pin
P4DDR--Port 4 Data Direction Register
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W
H'C5
2 0 W 1 0 W
Port 4
0 0 W
P4 7 DDR P4 6 DDR P4 5 DDR P4 4 DDR P4 3 DDR P4 2 DDR P4 1 DDR P4 0 DDR
Port 4 input/output select 0 Generic input pin 1 Generic output pin
805
P3DR--Port 3 Data Register
Bit Initial value Read/Write 7 P3 7 0 R/W 6 P3 6 0 R/W 5 P3 5 0 R/W 4 P3 4 0 R/W 3 P3 3 0 R/W
H'C6
2 P3 2 0 R/W 1 P3 1 0 R/W
Port 3
0 P3 0 0 R/W
Data for port 3 pins
P4DR--Port 4 Data Register
Bit Initial value Read/Write 7 P4 7 0 R/W 6 P4 6 0 R/W 5 P4 5 0 R/W 4 P4 4 0 R/W 3 P4 3 0 R/W
H'C7
2 P4 2 0 R/W 1 P4 1 0 R/W
Port 4
0 P4 0 0 R/W
Data for port 4 pins
P5DDR--Port 5 Data Direction Register
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 -- 1 -- 1 -- 6 -- 1 -- 1 -- 5 -- 1 -- 1 -- 4 -- 1 -- 1 -- 3 1 -- 0 W
H'C8
2 1 -- 0 W 1 1 -- 0 W
Port 5
0 1 -- 0 W
P5 3 DDR P5 2 DDR P5 1 DDR P5 0 DDR
Port 5 input/output select 0 Generic input 1 Generic output
806
P6DDR--Port 6 Data Direction Register
Bit Initial value Read/Write 7 -- 1 -- 6 0 W 5 0 W 4 0 W 3 0 W
H'C9
2 0 W 1 0 W
Port 6
0 0 W
P6 6 DDR P6 5 DDR P6 4 DDR P6 3 DDR P6 2 DDR P6 1 DDR P6 0 DDR
Port 6 input/output select 0 Generic input 1 Generic output
P5DR--Port 5 Data Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 P5 3 0 R/W
H'CA
2 P5 2 0 R/W 1 P5 1 0 R/W
Port 5
0 P5 0 0 R/W
Data for port 5 pins
P6DR--Port 6 Data Register
Bit Initial value Read/Write 7 -- 1 -- 6 P6 6 0 R/W 5 P6 5 0 R/W 4 P6 4 0 R/W 3 P6 3 0 R/W
H'CB
2 P6 2 0 R/W 1 P6 1 0 R/W
Port 6
0 P6 0 0 R/W
Data for port 6 pins
807
P8DDR--Port 8 Data Direction Register
Bit Modes Initial value 1 to 4 Read/Write Modes Initial value 5 to 7 Read/Write 7 -- 1 -- 1 -- 6 -- 1 -- 1 -- 5 -- 1 -- 1 -- 4 1 W 0 W
H'CD
3 0 W 0 W 2 0 W 0 W 1 0 W 0 W
Port 8
0 0 W 0 W
P8 4 DDR P8 3 DDR P8 2 DDR P8 1 DDR P8 0 DD
Port 8 input/output select 0 Generic input 1 CS output
Port 8 input/output se 0 Generic input 1 Generic output
P7DR--Port 7 Data Register
Bit Initial value Read/Write 7 P77 --* R 6 P76 --* R 5 P75 --* R 4 P74 --* R 3 P73 --* R
H'CE
2 P72 --* R 1 P71 --* R 0
Port 7
P70 --* R
Read the pin levels for port 7
Note: * Determined by pins P7 7 to P7 0 .
P8DR--Port 8 Data Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 P8 4 0 R/W 3 P8 3 0 R/W
H'CF
2 P8 2 0 R/W 1 P8 1 0 R/W
Port 8
0 P8 0 0 R/W
Data for port 8 pins
808
P9DDR--Port 9 Data Direction Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 0 W 4 0 W 3 0 W
H'D0
2 0 W 1 0 W
Port 9
0 0 W
P9 5 DDR P9 4 DDR P9 3 DDR P9 2 DDR P9 1 DDR P9 0 DDR
Port 9 input/output select 0 Generic input 1 Generic output
PADDR--Port A Data Direction Register
Bit Modes Initial value 3, 4, 6 Read/Write Modes Initial value 1, 2, Read/Write 5, 7 7 1 -- 0 W 6 0 W 0 W 5 0 W 0 W 4 0 W 0 W 3 0 W 0 W
H'D1
2 0 W 0 W 1 0 W 0 W
Port A
0 0 W 0 W
PA7 DDR PA6 DDR PA5 DDR PA4 DDR PA3 DDR PA2 DDR PA1 DDR PA0 DDR
Port A input/output select 0 Generic input 1 Generic output
P9DR--Port 9 Data Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 P9 5 0 R/W 4 P9 4 0 R/W 3 P9 3 0 R/W
H'D2
2 P9 2 0 R/W 1 P9 1 0 R/W
Port 9
0 P9 0 0 R/W
Data for port 9 pins
809
PADR--Port A Data Register
Bit Initial value Read/Write 7 PA 7 0 R/W 6 PA 6 0 R/W 5 PA 5 0 R/W 4 PA 4 0 R/W 3 PA 3 0 R/W
H'D3
2 PA 2 0 R/W 1 PA 1 0 R/W
Port A
0 PA 0 0 R/W
Data for port A pins
PBDDR--Port B Data Direction Register
Bit Initial value Read/Write 7 0 W 6 0 W 5 0 W 4 0 W 3 0 W
H'D4
2 0 W 1 0 W
Port B
0 0 W
PB7 DDR PB6 DDR PB5 DDR PB4 DDR PB3 DDR PB2 DDR PB1 DDR PB0 DDR
Port B input/output select 0 Generic input 1 Generic output
PBDR--Port B Data Register
Bit Initial value Read/Write 7 PB 7 0 R/W 6 PB 6 0 R/W 5 PB 5 0 R/W 4 PB 4 0 R/W 3 PB 3 0 R/W
H'D6
2 PB 2 0 R/W 1 PB 1 0 R/W
Port B
0 PB 0 0 R/W
Data for port B pins
810
P2PCR--Port 2 Input Pull-Up MOS Control Register
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
H'D8
2 0 R/W 1 0 R/W 0 0
Port 2
P2 7 PCR P2 6 PCR P2 5 PCR P2 4 PCR P2 3 PCR P2 2 PCR P2 1 PCR P2 0 PCR R/W
Port 2 input pull-up MOS control 7 to 0 0 Input pull-up transistor is off 1 Input pull-up transistor is on Note: Valid when the corresponding P2DDR bit is cleared to 0 (designating generic input).
P4PCR--Port 4 Input Pull-Up MOS Control Register
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
H'DA
2 0 R/W 1 0 R/W 0 0
Port 4
P4 7 PCR P4 6 PCR P4 5 PCR P4 4 PCR P4 3 PCR P4 2 PCR P4 1 PCR P4 0 PCR R/W
Port 4 input pull-up MOS control 7 to 0 0 Input pull-up transistor is off 1 Input pull-up transistor is on Note: Valid when the corresponding P4DDR bit is cleared to 0 (designating generic input).
811
P5PCR--Port 5 Input Pull-Up MOS Control Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 0 R/W
H'DB
2 0 R/W 1 0 R/W 0 0
Port 5
P5 3 PCR P5 2 PCR P5 1 PCR P5 0 PCR R/W
Port 5 input pull-up MOS control 3 to 0 0 Input pull-up transistor is off 1 Input pull-up transistor is on Note: Valid when the corresponding P5DDR bit is cleared to 0 (designating generic input).
DADR0--D/A Data Register 0
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
H'DC
2 0 R/W 1 0 R/W 0 0
D/A
R/W
D/A conversion data
DADR1--D/A Data Register 1
Bit Initial value Read/Write 7 0 R/W 6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
H'DD
2 0 R/W 1 0 R/W 0 0
D/A
R/W
D/A conversion data
812
DACR--D/A Control Register
Bit Initial value Read/Write 7 DAOE1 0 R/W 6 DAOE0 0 R/W 5 DAE 0 R/W 4 -- 1 -- 3 -- 1 --
H'DE
2 -- 1 -- 1 -- 1 -- 0 -- 1 --
D/A
D/A enable Bit 7 Bit 6 Bit 5 DAOE1 DAOE0 DAE 0 -- 0 1 0 1 0 1 --
1
0
1
Description D/A conversion is disabled in channels 0 and 1 D/A conversion is enabled in channel 0 D/A conversion is disabled in channel 1 D/A conversion is enabled in channels 0 and 1 D/A conversion is disabled in channel 0 D/A conversion is enabled in channel 1 D/A conversion is enabled in channels 0 and 1 D/A conversion is enabled in channels 0 and 1
D/A output enable 0 0 DA0 analog output is disabled 1 Channel-0 D/A conversion and DA0 analog output are enabled D/A output enable 1 0 DA1 analog output is disabled 1 Channel-1 D/A conversion and DA1 analog output are enabled
ADDRA H/L--A/D Data Register A H/L
Bit Initial value Read/Write 15 0 R 14 0 R 13 0 R 12 0 R 11 0 R 10 0 R 9 0 R 8 0 R 7 0 R 6 0 R
H'E0, H'E1
5 0 R 4 -- 0 R 3 -- 0 R 2 -- 0 R 1 -- 0 R
A/D
0 -- 0 R
AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 --
ADDRAH A/D conversion data 10-bit data giving an A/D conversion result
ADDRAL
813
ADDRB H/L--A/D Data Register B H/L
Bit Initial value Read/Write 15 0 R 14 0 R 13 0 R 12 0 R 11 0 R 10 0 R 9 0 R 8 0 R 7 0 R 6 0 R
H'E2, H'E3
5 0 R 4 -- 0 R 3 -- 0 R 2 -- 0 R 1 -- 0 R
A/D
0 -- 0 R
AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 --
ADDRBH A/D conversion data 10-bit data giving an A/D conversion result
ADDRBL
ADDRC H/L--A/D Data Register C H/L
Bit Initial value Read/Write 15 0 R 14 0 R 13 0 R 12 0 R 11 0 R 10 0 R 9 0 R 8 0 R 7 0 R 6 0 R
H'E4, H'E5
5 0 R 4 -- 0 R 3 -- 0 R 2 -- 0 R 1 -- 0 R
A/D
0 -- 0 R
AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 --
ADDRCH A/D conversion data 10-bit data giving an A/D conversion result
ADDRCL
ADDRD H/L--A/D Data Register D H/L
Bit Initial value Read/Write 15 0 R 14 0 R 13 0 R 12 0 R 11 0 R 10 0 R 9 0 R 8 0 R 7 0 R 6 0 R
H'E6, H'E7
5 0 R 4 -- 0 R 3 -- 0 R 2 -- 0 R 1 -- 0 R
A/D
0 -- 0 R
AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 --
ADDRDH A/D conversion data 10-bit data giving an A/D conversion result
ADDRDL
814
ADCR--A/D Control Register
Bit Initial value Read/Write 7 TRGE 0 R/W 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 -- 1 --
H'E9
2 -- 1 -- 1 -- 1 -- 0 -- 1 --
A/D
Trigger enable 0 A/D conversion cannot be externally triggered 1 A/D conversion starts at the fall of the external trigger signal (ADTRG )
815
ADCSR--A/D Control/Status Register
Bit Initial value Read/Write 7 ADF 0 R/(W)* 6 ADIE 0 R/W 5 ADST 0 R/W 4 SCAN 0 R/W 3 CKS 0 R/W
H'E8
2 CH2 0 R/W 1 CH1 0 R/W 0
A/D
CH0 0 R/W
Clock select 0 Conversion time = 266 states (maximum) 1 Conversion time = 134 states (maximum) Channel select 2 to 0 Channel Group Selection Selection CH2 CH1 CH0 0 0 0 1 0 1 1 0 0 1 1 0 1 1
Scan mode 0 Single mode 1 Scan mode
Description Single Mode Scan Mode AN 0 AN 0 AN 1 AN 0, AN 1 AN 2 AN 0 to AN 2 AN 3 AN 0 to AN 3 AN 4 AN 4 AN 5 AN 4, AN 5 AN 6 AN 4 to AN 6 AN 7 AN 4 to AN 7
A/D start 0 A/D conversion is stopped 1 Single mode: A/D conversion starts; ADST is automatically cleared to 0 when conversion ends Scan mode: A/D conversion starts and continues, cycling among the selected channels, until ADST is cleared to 0 by software, by a reset, or by a transition to standby mode A/D interrupt enable 0 A/D end interrupt request is disabled 1 A/D end interrupt request is enabled A/D end flag 0 [Clearing condition] Read ADF while ADF = 1, then write 0 in ADF 1 [Setting conditions] Single mode: A/D conversion ends Scan mode: A/D conversion ends in all selected channels Note: * Only 0 can be written, to clear flag.
816
ABWCR--Bus Width Control Register
Bit 7 ABW7 Initial Mode 1, 3, 5, 6 1 value Mode 2, 4, 7 0 Read/Write R/W 6 ABW6 1 0 R/W 5 ABW5 1 0 R/W 4 ABW4 1 0 R/W 3 ABW3 1 0 R/W
H'EC
2 ABW2 1 0 R/W
Bus controller
1 ABW1 1 0 R/W 0 ABW0 1 0 R/W
Area 7 to 0 bus width control Bits 7 to 0 ABW7 to ABW0 Bus Width of Access Area 0 Areas 7 to 0 are 16-bit access areas Areas 7 to 0 are 8-bit access areas 1
ASTCR--Access State Control Register
Bit Initial value Read/Write 7 AST7 1 R/W 6 AST6 1 R/W 5 AST5 1 R/W 4 AST4 1 R/W 3 AST3 1 R/W
H'ED
2 AST2 1 R/W 1
Bus controller
0 AST0 1 R/W
AST1 1 R/W
Area 7 to 0 access state control Bits 7 to 0 AST7 to AST0 Number of States in Access Cycle 0 Areas 7 to 0 are two-state access areas Areas 7 to 0 are three-state access areas 1
817
WCR--Wait Control Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 1 -- 4 -- 1 -- 3 WMS1 0 R/W
H'EE
2 WMS0 0 R/W 1
Bus controller
0 WC0 1 R/W
WC1 1 R/W
Wait mode select 1 and 0 Bit 3 Bit 2 WMS1 WMS0 Wait Mode 0 0 Programmable wait mode 1 1 0 1 No wait states inserted by wait-state controller Pin wait mode 1 Pin auto-wait mode
Wait count 1 and 0 Bit 1 Bit 0 WC1 WC0 Number of Wait States 0 0 No wait states inserted by wait-state controller 1 1 0 1 1 state inserted 2 states inserted 3 states inserted
WCER--Wait-State Controller Enable Register
Bit Initial value Read/Write 7 WCE7 1 R/W 6 WCE6 1 R/W 5 WCE5 1 R/W 4 WCE4 1 R/W 3 WCE3 1 R/W
H'EF
2 WCE2 1 R/W 1
Bus controller
0 WCE0 1 R/W
WCE1 1 R/W
Wait-state controller enable 7 to 0 0 Wait-state control is disabled (pin wait mode 0) 1 Wait-state control is enabled
818
MDCR--Mode Control Register
Bit Initial value Read/Write 7 -- 1 -- 6 -- 1 -- 5 -- 0 -- 4 -- 0 -- 3 -- 0 --
H'F1
2 MDS2 --* R
System control
1 MDS1 --* R 0 MDS0 --* R
Mode select 2 to 0 Bit 2 Bit 1 Bit 0 MD2 MD1 MD0 0 0 0 1 0 1 1 0 0 1 1 0 1 1
Operating mode -- Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Mode 7
Note: * Determined by the state of the mode pins (MD 2 to MD0 ).
819
SYSCR--System Control Register
Bit Initial value Read/Write 7 SSBY 0 R/W 6 STS2 0 R/W 5 STS1 0 R/W 4 STS0 0 R/W 3 UE 1 R/W
H'F2
2 NMIEG 0 R/W 1 -- 1 --
System control
0 RAME 1 R/W
RAM enable 0 On-chip RAM is disabled 1 On-chip RAM is enabled NMI edge select 0 An interrupt is requested at the falling edge of NMI 1 An interrupt is requested at the rising edge of NMI User bit enable 0 CCR bit 6 (UI) is used as an interrupt mask bit 1 CCR bit 6 (UI) is used as a user bit Standby timer select 2 to 0 Bit 6 Bit 5 Bit 4 STS2 STS1 STS0 Standby Timer 0 0 0 Waiting time = 8,192 states 1 Waiting time = 16,384 states 0 Waiting time = 32,768 states 1 1 Waiting time = 65,536 states Waiting time = 131,072 states 0 0 1 Waiting time = 1,024 states 1 1 -- Illegal setting Software standby 0 SLEEP instruction causes transition to sleep mode 1 SLEEP instruction causes transition to software standby mode
820
BRCR--Bus Release Control Register
Bit 7 6 A22E 1 -- 1 R/W 5 A21E 1 -- 1 R/W 4 -- 1 -- 1 -- 3 -- 1 -- 1 --
H'F3
2 -- 1 -- 1 --
Bus controller
1 -- 1 -- 1 -- 0 BRLE 0 R/W 0 R/W
A23E Modes Initial value 1 1, 2, Read/Write -- 5, 7 1 Modes Initial value 3, 4, 6 Read/Write R/W
Bus release enable 0 The bus cannot be released to an external device 1 The bus can be released to an external device
Address 23 to 21 enable 0 Address output 1 Other input/output
ISCR--IRQ Sense Control Register
Bit Initial value Read/Write 7 -- 0 R/W 6 -- 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
H'F4
2 0 R/W
Interrupt controller
1 0 R/W 0 0 R/W
IRQ5SC IRQ4SC IRQ3SC IRQ2SC IRQ1SC IRQ0SC
IRQ 5 to IRQ 0 sense control 0 Interrupts are requested when IRQ 5 to IRQ 0 inputs are low 1 Interrupts are requested by falling-edge input at IRQ 5 to IRQ0
IER--IRQ Enable Register
Bit Initial value Read/Write 7 -- 0 R/(W) 6 -- 0 R/(W) 5 IRQ5E 0 R/(W) 4 IRQ4E 0 R/(W) 3 IRQ3E 0 R/(W)
H'F5
2 IRQ2E 0 R/(W)
Interrupt controller
1 IRQ1E 0 R/(W) 0 IRQ0E 0 R/(W)
IRQ5 to IRQ 0 enable 0 IRQ 5 to IRQ 0 interrupts are disabled 1 IRQ 5 to IRQ 0 interrupts are enabled 821
ISR--IRQ Status Register
Bit Initial value Read/Write 7 -- 0 -- 6 -- 0 -- 5 IRQ5F 0 R/(W)* 4 IRQ4F 0 R/(W)* 3 IRQ3F 0 R/(W)*
H'F6
2 IRQ2F 0 R/(W)*
Interrupt controller
1 IRQ1F 0 R/(W)* 0 IRQ0F 0 R/(W)*
IRQ 5 to IRQ 0 flags Bits 5 to 0 IRQ5F to IRQ0F 0 Setting and Clearing Conditions [Clearing conditions] Read IRQnF when IRQnF = 1, then write 0 in IRQnF. IRQnSC = 0, IRQn input is high, and interrupt exception handling is carried out. IRQnSC = 1 and IRQn interrupt exception handling is carried out. [Setting conditions] IRQnSC = 0 and IRQn input is low. IRQnSC = 1 and a falling edge is generated in the IRQn input. (n = 5 to 0) Note: * Only 0 can be written, to clear the flag.
1
822
IPRA--Interrupt Priority Register A
Bit Initial value Read/Write 7 IPRA7 0 R/W 6 IPRA6 0 R/W 5 IPRA5 0 R/W 4 IPRA4 0 R/W 3 IPRA3 0 R/W
H'F8
2 IPRA2 0 R/W
Interrupt controller
1 IPRA1 0 R/W 0 IPRA0 0 R/W
Priority level A7 to A0 0 Priority level 0 (low priority) 1 Priority level 1 (high priority)
*
Interrupt sources controlled by each bit
Bit 7 IPRA7 Interrupt source IRQ0 Bit 6 IPRA6 IRQ1 Bit 5 IPRA5 IRQ2, IRQ3 Bit 4 IPRA4 IRQ4, IRQ5 Bit 3 IPRA3 Bit 2 IPRA2 Bit 1 IPRA1 ITU channel 1 Bit 0 IPRA0 ITU channel 2
WDT, ITU Refresh chanConnel 0 troller
IPRB--Interrupt Priority Register B
Bit Initial value Read/Write 7 IPRB7 0 R/W 6 IPRB6 0 R/W 5 IPRB5 0 R/W 4 -- 0 R/W 3 IPRB3 0 R/W
H'F9
2 IPRB2 0 R/W
Interrupt controller
1 IPRB1 0 R/W 0 -- 0 R/W
Priority level B7 to B5, B3 to B 1 0 Priority level 0 (low priority) 1 Priority level 1 (high priority)
*
Interrupt sources controlled by each bit
Bit 7 IPRB7 Interrupt source ITU channel 3 Bit 6 IPRB6 ITU channel 4 Bit 5 IPRB5 DMAC Bit 4 -- -- Bit 3 IPRB3 SCI channel 0 Bit 2 IPRB2 SCI channel 1 Bit 1 IPRB1 A/D converter Bit 0 -- --
823
Appendix C I/O Port Block Diagrams
C.1 Port 1 Block Diagram
Software standby Mode 7 Hardware standby External bus released Mode 1 to 4
Internal data bus (upper)
Reset R Q P1 n DDR C WP1D Reset D
Mode 7 R P1 n Q P1 nDR C WP1 D
Mode 1 to 6
RP1
WP1D: Write to P1DDR WP1: Write to port 1 RP1: Read port 1 n = 0 to 7
Figure C-1 Port 1 Block Diagram
824
Internal address bus
C.2 Port 2 Block Diagram
Reset Internal data bus (upper) R Q Software standby Mode 7 Hardware standby External bus released P2 n PCR C RP2P WP2P Reset Mode 1 to 4 R Q P2n DDR C WP2D Reset Mode 7 R Q P2 nDR C WP2 D D D
P2 n
Mode 1 to 6
RP2
WP2P: Write to P2PCR RP2P: Read P2PCR WP2D: Write to P2DDR WP2: Write to port 2 RP2: Read port 2 n = 0 to 7
Figure C-2 Port 2 Block Diagram
825
Internal address bus
C.3 Port 3 Block Diagram
Internal data bus (upper)
Reset Hardware standby External bus released R Mode 7 Q Write to external address P3 n DDR C WP3D Reset R Mode 7 P3 n Q P3 nDR C WP3 D D
Mode 1 to 6
RP3
Read external address WP3D: Write to P3DDR WP3: Write to port 3 RP3: Read port 3 n = 0 to 7
Figure C-3 Port 3 Block Diagram
826
Internal data bus (lower)
C.4 Port 4 Block Diagram
8-bit bus 16-bit bus mode mode Mode 7 Mode 1 to 6 Reset Internal data bus (upper) Internal data bus (lower) R Q P4 n PCR RP4P C WP4P Reset R Write to external address Q P4 n DDR C WP4D Reset R P4 n Q P4n DR C WP4 D D D
RP4
Read external address WP4P: Write to P4PCR RP4P: Read P4PCR WP4D: Write to P4DDR WP4: Write to port 4 RP4: Read port 4 n = 0 to 7
Figure C-4 Port 4 Block Diagram
827
C.5 Port 5 Block Diagram
Reset Q Software standby Mode 7 RP5P Hardware standby External bus released P5 n PCR C WP5P Mode 1 to 4 D Internal data bus (upper) R
Reset R Q P5 n DDR C WP5D Reset R Q P5n DR C D D
Mode 7
P5 n
Mode 1 to 6
WP5
RP5
WP5P: Write to P5PCR RP5P: Read P5PCR WP5D: Write to P5DDR WP5: Write to port 5 RP5: Read port 5 n = 0 to 3
Figure C-5 Port 5 Block Diagram
828
Internal address bus
C.6 Port 6 Block Diagrams
Reset Internal data bus R Q P60 DDR C Mode 7 WP6D Reset R P6 0 Q P60 DR C WP6 D D
Bus controller WAIT input enable
RP6 Bus controller WAIT input
WP6D: Write to P6DDR WP6: Write to port 6 RP6: Read port 6
Figure C-6 (a) Port 6 Block Diagram (Pin P60)
829
Reset Internal data bus R Q P6 1 DDR C Mode 7 WP6D Reset R P6 1 Q P61 DR C WP6 D D Bus controller
Bus release enable
RP6
BREQ input WP6D: Write to P6DDR WP6: Write to port 6 RP6: Read port 6
Figure C-6 (b) Port 6 Block Diagram (Pin P61)
830
Reset R Q P6 2 DDR C WP6D Reset R P6 2 Q P62 DR C Mode 7 WP6 D Bus controller Bus release enable BACK output D Internal data bus
RP6
WP6D: Write to P6DDR WP6: Write to port 6 RP6: Read port 6
Figure C-6 (c) Port 6 Block Diagram (Pin P62)
831
Software standby Mode 7 Hardware standby External bus released
Mode 7
Reset R Q P6 n DDR C WP6D Reset R D Internal data bus
Mode 7 P6 n Q Mode 1 to 6 P6 nDR C WP6 AS output RD output HWR output LWR output D
RP6
WP6D: Write to P6DDR WP6: Write to port 6 RP6: Read port 6 n = 6 to 3
Figure C-6 (d) Port 6 Block Diagram (Pins P66 to P63)
832
C.7 Port 7 Block Diagrams
Internal data bus
RP7 P7n
A/D converter Input enable Analog input
RP7: Read port 7 n = 0 to 5
Figure C-7 (a) Port 7 Block Diagram (Pins P70 to P75)
Internal data bus
RP7 P7n
A/D converter Input enable Analog input
D/A converter Output enable Analog output
RP7: Read port 7 n = 6 and 7
Figure C-7 (b) Port 7 Block Diagram (Pins P76 and P77)
833
C.8 Port 8 Block Diagrams
Reset R Q P8 0 DDR C WP8D Reset R P8 0 Q P80 DR C Mode 7 WP8 D Refresh controller Output enable RFSH output D Internal data bus Interrupt controller WP8D: Write to P8DDR WP8: Write to port 8 RP8: Read port 8 IRQ 0 input
RP8
Figure C-8 (a) Port 8 Block Diagram (Pin P80)
834
Reset R Q P8 n DDR C WP8 Reset Mode 7 P8 n Mode 1 to 6 Q P8n DR C WP8 R D D Internal data bus
Bus controller
CS 1 CS 2 CS 3 output
RP8 Interrupt controller IRQ 1 IRQ 2 IRQ 3 input WP8D Write to P8DDR WP8: Write to port 8 RP8: Read port 8 n = 1 to 3
Figure C-8 (b) Port 8 Block Diagram (Pins P81, P82, P83)
835
Reset
Mode 1 to 4
S Q
R D
Internal data bus
P8 4 DDR C WP8D Reset R Mode 6/7 P8 4 Mode 1 to 5 Q P84 DR C WP8 D
Bus controller CS 0 output
RP8
WP8D: Write to P8DDR WP8: Write to port 8 RP8: Read port 8
Figure C-8 (c) Port 8 Block Diagram (Pin P84)
836
C.9 Port 9 Block Diagrams
Reset R Q P9 0 DDR C WP9D Reset R P9 0 Q P90 DR C WP9 D SCI0 Output enable Serial transmit data Guard time RP9 D Internal data bus
WP9D: Write to P9DDR WP9: Write to port 9 RP9: Read port 9
Figure C-9 (a) Port 9 Block Diagram (Pin P90)
837
Reset R Q P9 1 DDR C WP9D Reset R P9 1 Q P91 DR C WP9 D SCI1 Output enable Serial transmit data D Internal data bus
RP9
WP9D: Write to P9DDR WP9: Write to port 9 RP9: Read port 9
Figure C-9 (b) Port 9 Block Diagram (Pin P91)
838
Reset R Q P9 n DDR C WP9D Reset R P9 n Q P9n DR C WP9 D D Internal data bus
SCI Input enable
RP9
Serial receive data WP9D: Write to P9DDR WP9: Write to port 9 RP9: Read port 9 n = 2 and 3
Figure C-9 (c) Port 9 Block Diagram (Pins P92, P93)
839
Reset R Q P9 n DDR C WP9D Reset R P9 n Q P9n DR C WP9 Clock output enable Clock output D D Internal data bus
SCI Clock input enable
RP9
Clock input WP9D: Write to P9DDR WP9: Write to port 9 RP9: Read port 9 n = 4 and 5 Interrupt controller IRQ 4 or IRQ 5 input
Figure C-9 (d) Port 9 Block Diagram (Pins P94, P95)
840
C.10 Port A Block Diagrams
Reset R Q PA n DDR C WPAD Reset R PAn Q PA n DR C D D Internal data bus WPA Output trigger DMA controller Output enable Transfer end output
TPC
TPC output enable Next data
ITU RPA Counter clock input
WPAD: Write to PADDR WPA: Write to port A RPA: Read port A n = 0 and 1
Figure C-10 (a) Port A Block Diagram (Pins PA0, PA1)
841
Reset R Q PA n DDR C WPAD Reset R PAn Q PAn DR C D D Internal data bus WPA Output trigger ITU Output enable Compare match output
TPC
TPC output enable Next data
RPA
Input capture Counter clock input
WPAD: Write to PADDR WPA: Write to port A RPA: Read port A n = 2 and 3
Figure C-10 (b) Port A Block Diagram (Pins PA2, PA3)
842
Software standby External bus released Hardware standby
Bus controller Chip select enable Reset R Q PAnDDR C WPAD Reset PAn Q PAnDR C WPA R D Next data TPC output enable D Internal address bus Internal data bus Address output enable CS4 CS5 CS6 output TPC
Output trigger ITU Output enable Compare match output
PRA Input capture WPAD: Write to PADDR WPA: Write to port A RPA: Read port A n = 4 to 6
Figure C-10 (c) Port A Block Diagram (Pins PA4 to PA6)
843
Software standby External bus released Hardware standby
Bus controller
Reset R Q PA7DDR C WPAD Reset R Q PA7DR C D D
Internal address bus
Internal data bus
Address output enable
TPC
PA7
TPC output enable Next data
WPA
Output trigger ITU Output enable Compare match output
PRA Input capture WPAD: Write to PADDR WPA: Write to port A RPA: Read port A
Figure C-10 (d) Port A Block Diagram (Pin PA7)
844
C.11 Port B Block Diagrams
Reset Internal data bus WPB Output trigger ITU Output enable Compare match output R Q PB n DDR C WPBD Reset R PBn Q PB n DR C D Next data D
TPC
TPC output enable
RPB Input capture
WPBD: Write to PBDDR WPB: Write to port B RPB: Read port B n = 0 to 3
Figure C-11 (a) Port B Block Diagram (Pins PB0 to PB3)
845
R Q PB n DDR C WPBD Reset R PBn Q PB n DR C D D
Internal data bus WPB
Reset
TPC
TPC output enable Next data
Output trigger ITU Output enable Compare match output
RPB WPBD: Write to PBDDR WPB: Write to port B RPB: Read port B n = 4 and 5
Figure C-11 (b) Port B Block Diagram (Pins PB4, PB5)
846
R Q PB 6 DDR C WPBD Reset R PB6 Q PB6 DR C D D
Internal data bus WPB
Reset
TPC
TPC output enable Next data
Output trigger Bus controller CS7 outpu Chip select enable
DMAC RPB
WPBD: Write to PBDDR WPB: Write to port B RPB: Read port B
DREQ0 input
Figure C-11 (c) Port B Block Diagram (Pin PB6)
847
R Q PB 7 DDR C WPBD Reset R PB7 Q PB7 DR C D D
Internal data bus WPB
Reset
TPC
TPC output enable Next data
Output trigger
RPB DMAC WPBD: Write to PBDDR WPB: Write to port B RPB: Read port B DREQ1 input A/D converter ADTRG input
Figure C-11 (d) Port B Block Diagram (Pin PB7)
848
Appendix D Pin States
D.1 Port States in Each Mode
Table D-1 Port States
Pin Name o RESO P17 to P10 Hardware Software Standby Standby Mode Mode H T T keep T 7 P27 to P20 1 to 4 5, 6 T L T T T T keep T keep T 7 P37 to P30 P47 to P40 1 to 6 7 1 to 6 8-bit bus 16-bit bus 7 T T T T T T T T T T T T keep T keep keep T keep BusReleased Mode Program Execution, Sleep Mode
Mode -- -- 1 to 4 5, 6
Reset
Clock output T T* L T T T T
Clock output Clock output T T T T -- T T T -- T -- keep T -- RESO A7 to A0 Input port (DDR = 0) A7 to A0 (DDR = 1) I/O port A15 to A8 Input port (DDR = 0) A15 to A8 (DDR = 1) I/O port D15 to D8 I/O port I/O port D7 to D0 I/O port
Legend H: High L: Low T: High-impedance state keep: Input pins are in the high-impedance state; output pins maintain their previous state. DDR: Data direction register bit Note: * Low output only when WDT overflow causes a reset.
849
Table D-1 Port States (cont)
Pin Name P53 to P50 Hardware Software Standby Standby Mode Mode T T T keep T 7 P60 1 to 6 7 P61 1 to 6 T T T T T T T T keep keep keep keep (BRLE = 0) T (BRLE = 1) keep keep (BRLE = 0) H (BRLE = 1) keep T keep T keep (RFSHE = 0) RFSH (RFSHE = 1) keep BusReleased Mode T T T -- keep -- T Program Execution, Sleep Mode A19 to A16 Input port (DDR = 0) A19 to A16 (DDR = 1) I/O port I/O port WAIT I/O port I/O port BREQ
Mode 1 to 4 5, 6
Reset L T
7 P62 1 to 6
T T
T T
-- L
I/O port I/O port (BRLE = 0) or BACK (BRLE = 1) I/O port AS, RD, HWR, LWR I/O port Input port I/O port (RFSHE = 0) or RFSH (RFSHE = 1) I/O port
7 P66 to P63 1 to 6 7 P77 to P70 P80 1 to 7 1 to 6
T H*3 T T T
T T T T T
-- T -- T* keep (RFSHE = 0) H (RFSHE = 1) --
7
T
T
Legend H: High L: Low T: High-impedance state keep: Input pins are in the high-impedance state; output pins maintain their previous state. DDR: Data direction register bit Note: * The bus cannot be released in mode 7.
850
Table D-1 Port States (cont)
Pin Name P83 to P81 Hardware Software Standby Standby Mode Mode T T (DDR = 0) H (DDR = 1) keep T (DDR = 0) L (DDR = 1) keep keep keep H (CS output) T (address output) keep (otherwise) keep T keep keep BusReleased Mode keep (DDR = 0) H (DDR = 1) -- keep (DDR = 0) H (DDR = 1) -- keep*1 keep*1 H (CS output) T (address output) keep (otherwise) keep*1 T keep*1 keep*1 Program Execution, Sleep Mode Input port (DDR = 0) or CS3 to CS1 (DDR = 1) I/O port Input port (DDR = 0) or CS0 (DDR = 1) I/O port I/O port I/O port CS6 to CS4 (CS output) A23 to A21 (address output) I/O port (otherwise) I/O port A20 I/O port I/O port CS7 (CS output) I/O port (otherwise) I/O port
Mode 1 to 6
Reset T
7 P84 1 to 6
T L
T T
7 P96 to P90 PA3 to PA0 PA6 to PA4 1 to 7 1 to 7 3, 4, 6
T T T T*4
T T T T
1, 2, 5, 7 PA7 PB7, PB5 to PB0 PB6 3, 4, 6 1, 2, 5, 7 1 to 7 3, 4, 6
T*4 L*4 T T T
T T T T T
H H (CS output) (CS output) keep keep (otherwise) (otherwise) keep keep*1
1, 2, 5, 7
T
T
Legend H: High L: Low T: High-impedance state keep: Input pins are in the high-impedance state; output pins maintain their previous state. DDR: Data direction register bit Notes: 1. The bus cannot be released in mode 7. 2. Output is low only for reset by WDT overflow. 3. During direct power supply, oscillation damping time is "H" or "T". 4. During direct power supply, oscillation damping time differs between "H", "L" and "T". 851
D.2 Pin States at Reset
Reset in T1 State: Figure D-1 is a timing diagram for the case in which RES goes low during the T1 state of an external memory access cycle. As soon as RES goes low, all ports are initialized to the input state. AS, RD, HWR, and LWR go high, and the data bus goes to the high-impedance state. The address bus is initialized to the low output level 0.5 state after the low level of RES is sampled. Sampling of RES takes place at the fall of the system clock (o).
Access to external address T1 o T2 T3
RES Internal reset signal Address bus H'000000
CS0 High impedance CS7 to CS1
AS High RD (read access) High HWR, LWR (write access) Data bus (write access) I/O port
High High impedance
High impedance
Figure D-1 Reset during Memory Access (Reset during T1 State)
852
Reset in T2 State: Figure D-2 is a timing diagram for the case in which RES goes low during the T2 state of an external memory access cycle. As soon as RES goes low, all ports are initialized to the input state. AS, RD, HWR, and LWR go high, and the data bus goes to the high-impedance state. The address bus is initialized to the low output level 0.5 state after the low level of RES is sampled. The same timing applies when a reset occurs during a wait state (TW).
Access to external address T1 o T2 T3
RES Internal reset signal Address bus H'000000
CS0 High impedance CS7 to CS1
AS
RD (read access)
HWR, LWR (write access) Data bus (write access) I/O port High impedance
High impedance
Figure D-2 Reset during Memory Access (Reset during T2 State)
853
Reset in T3 State: Figure D-3 is a timing diagram for the case in which RES goes low during the T3 state of an external memory access cycle. As soon as RES goes low, all ports are initialized to the input state. AS, RD, HWR, and LWR go high, and the data bus goes to the high-impedance state. The address bus outputs are held during the T3 state.The same timing applies when a reset occurs in the T2 state of an access cycle to a two-state-access area.
Access to external address T1 o T2 T3
RES Internal reset signal Address bus H'000000
CS0 High impedance CS7 to CS1
AS
RD (read access)
HWR, LWR (write access) Data bus (write access) I/O port
High impedance
High impedance
Figure D-3 Reset during Memory Access (Reset during T3 State)
854
Appendix E Timing of Transition to and Recovery from Hardware Standby Mode
Timing of Transition to Hardware Standby Mode (1) To retain RAM contents with the RAME bit set to 1 in SYSCR, drive the RES signal low 10 system clock cycles before the STBY signal goes low, as shown below. RES must remain low until STBY goes low (minimum delay from STBY low to RES high: 0 ns).
STBY t1 10tcyc RES t2 0 ns
(2) To retain RAM contents with the RAME bit cleared to 0 in SYSCR, or when RAM contents do not need to be retained, RES does not have to be driven low as in (1). Timing of Recovery from Hardware Standby Mode: Drive the RES signal low approximately 100 ns before STBY goes high.
STBY t 100 ns RES tOSC
855
Appendix F Product Code Lineup
Table F-1 H8/3048 Series Product Code Lineup
Product Type H8/3048 PROM version (ZTAT) 5V version Product Code HD6473048TF HD6473048F 3V version HD6473048VTF HD6473048VF Mask ROM version 5V version HD6433048TF HD6433048F 3V version HD6433048VTF HD6433048VF Flash memory version 5V version HD64F3048TF HD64F3048F 3V version HD64F3048VTF HD64F3048VF H8/3047 Mask ROM version 5V version HD6433047TF HD6433047F 3V version HD6433047VTF HD6433047VF Mark Code HD6473048TF HD6473048F HD6473048VTF HD6473048VF HD6433048(***)TF HD6433048(***)F HD6433048(***)VTF HD6433048(***)VF HD64F3048TF HD64F3048F HD64F3048VTF HD64F3048VF HD6433047(***)TF HD6433047(***)F HD6433047(***)VTF HD6433047(***)VF Package (Hitachi Package Code) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B)
856
Table F-1 H8/3048 Series Product Code Lineup (cont)
Product Type H8/3045 Mask ROM version 5V version Product Code HD6433045TF HD6433045F 3V version HD6433045VTF HD6433045VF H8/3044 Mask ROM version 5V version HD6433044TF HD6433044F 3V version HD6433044VTF HD6433044VF Note: (***) in mask ROM versions is the ROM code. Mark Code HD6433045(***)TF HD6433045(***)F HD6433045(***)VTF HD6433045(***)VF HD6433044(***)TF HD6433044(***)F HD6433044(***)VTF HD6433044(***)VF Package (Hitachi Package Code) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B) 100-pin TQFP (TFP-100B) 100-pin QFP (FP-100B)
857
Appendix G Package Dimensions
Figure G-1 shows the FP-100B package dimensions of the H8/3048 Series. Figure G-2 shows the TFP-100B package dimensions.
Unit: mm 16.0 0.3 14 75 76 16.0 0.3 51 50 0.5 100 1 0.22 0.05 0.20 0.04 25 2.70 0.08 M 1.0 26 3.05 Max
0.17 0.05 0.15 0.04
1.0 0 - 8 0.5 0.2
0.10
Dimension including the plating thickness Base material dimension
Figure G-1 Package Dimensions (FP-100B)
0.12 +0.13 -0.12 858
Unit: mm 16.0 0.2 14 75 76 16.0 0.2 51 50
100 1 0.22 0.05 0.20 0.04 25 0.08 M
26 0.17 0.05 0.15 0.04 1.20 Max
1.00
0.5
1.0
1.0 0 - 8 0.5 0.1
0.10
Dimension including the plating thickness Base material dimension
Figure G-2 Package Dimensions (TFP-100B)
0.10 0.10 859
H8/3048 Series, H8/3048F-ZTATTM Hardware Manual
Publication Date: 1st Edition, January 1995 3nd Edition, October 1997 Published by: Semiconductor and IC Div. Hitachi, Ltd. Edited by: Technical Documentation Center Hitachi Microcomputer System Ltd. Copyright (c) Hitachi, Ltd., 1995. All rights reserved. Printed in Japan.


▲Up To Search▲   

 
Price & Availability of HD64F3048

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X